波形仿真的结果总是一样,虽然我改动了相关的IO与设置

Q3:波形仿真的结果总是一样,虽然我改动了相关的IO与设置?

A3:这个问题的原因我是没有搞清楚,但是解决办法还是有的,将这个波形文件关掉,然后再重新建立一个文件即可。难怪quartus10的版本里已经将其删除掉了……

不过,如果直接改动simulation report文件里的端口设置,会提示“……do you want to edit your input vectors?”这时选择“I want to ...”即可。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值