时钟沿触发问题?

Q4:时钟沿触发问题?

A4:问题背景:我想让FPGA在时钟上升沿去干一件事,在下降沿去干另外一件事。最初解决尝试:if clk'event and clk='1' then event1; if clk'event and clk='0' then event2; end if; 这个代码最后报错了,编译器无法处理两个时钟信号。其实,直接改成 if clk='1' then event1; else event2 ;end if; 我看仿真结果就是我要达到的目的。 嘿嘿,花了近2个小时的时间,发现问题原来如此简单。估计是受单片机编程语言的影响吧!

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值