Modelsim SE-64 10.4 仿真无法stop,不出结果

今天仿真时候遇到一个Modelsim SE-64 10.4 的bug,仿真后始终停在如下画面,点击stop没反应

后来发现是在删除clk信号后,仿真文件书写如下的原因:

问题应该是 always 的begin end 之间没有任何内容造成的,后来整体注释掉就好了。

问题解决。

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值