verilog 中的冒泡排序法

源代码:


module maopao9_test(a1,a2,a3,a4,a5,a6,a7,a8,a9,o1,o2,o3,o4,o5,o6,o7,o8,o9);
input [3:0]a1,a2,a3,a4,a5,a6,a7,a8,a9;
output[3:0]o1,o2,o3,o4,o5,o6,o7,o8,o9;
reg   [3:0]o1,o2,o3,o4,o5,o6,o7,o8,o9;
task px;
    integer i,j;
    input [3:0] b1,b2,b3,b4,b5,b6,b7,b8,b9;
    output [3:0] d1,d2,d3,d4,d5,d6,d7,d8,d9;
    reg [3:0]q[8:0];
    reg [3:0]r;
begin
    q[0]=b1;q[1]=b2;q[2]=b3;q[3]=b4;q[4]=b5;q[5]=b6;q[6]=b7;q[7]=b8;q[8]=b9;
    for(i=0;i<8;i=i+1)
    begin
    for(j=i+1;j<9;j=j+1)
    if(q[i]>q[j])
    begin
    r=q[i];
    q[i]=q[j];
    q[j]=r;
    end
    end
    d1=q[0];d2=q[1];d3=q[2];d4=q[3];d5=q[4];d6=q[5];d7=q[6];d8=q[7];d9=q[8];
end
endtask
always @(*)
px(a1,a2,a3,a4,a5,a

  • 6
    点赞
  • 32
    收藏
    觉得还不错? 一键收藏
  • 7
    评论
冒泡排序是一种简单直观的排序算法,它通过重复地比较相邻的元素并交换位置来实现排序。该算法的基本思想是,每次比较两个相邻的元素,如果它们的顺序错误,则交换它们的位置,直到整个序列都被遍历并没有发生交换为止。这个算法的名字由来是因为越小的元素会经由交换慢慢"浮"到数列的顶端。[2] 在Verilog实现冒泡排序,可以使用状态机来描述算法的执行过程。首先,当reset信号有效时,进行复位操作。然后,当load_data信号有效时,将输入数据放入数据fifo,以便进行操作。接下来,当swap信号有效时,进行冒泡排序的具体操作。冒泡排序的思想是,使用两个指针cnt_i和turn来指示内圈和外圈的位置。每一轮排序开始时,判断cnt_i是否与turn相等,如果相等,则一轮排序结束,将turn减1,并将cnt_i重置为1。如果不相等,则进行数据大小的比较,并根据需要进行交换。最后,当排序完成时,清除swap信号,进入冒泡排序结束状态。整个过程会循环回到数据态,等待外部输入需要排序的数据进行下一轮排序。[3] 总结来说,冒泡排序是一种简单但效率较低的排序算法,通过比较相邻元素并交换位置来实现排序。在Verilog实现冒泡排序可以使用状态机来描述算法的执行过程,包括复位、数据加载、数据交换和排序结束等状态。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 7
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值