verilator_makefile的编写

1.makefile的目标任务的梳理:

(1)目标:生成波形文件 依赖:waveform.vcd 文件

(2)目标:生成waveform.vcd 依赖:./obj_dir/V$(MODULE)

(3)目标:生成./obj_dir/V$(MODULE) 依赖:.sv 文件 .cpp文件

(4)目标: clean (清除obj_dir 文件以及波形文件.vcd)

2.makefile代码如下:

MODULE=ALU (设计文件的文件名)

waves: waveform.vcd

@echo “WAVES~~~”

gtkwave waveform.vcd

waveform.vcd: ./obj_dir/V$(MODULE)

@echo“SIMULATION~~~”

./obj_dir/V$(MODULE) +verilator+rand+reset+2

./obj_dir/V$(MODULE): $(MODULE).sv tb_$(MODULE).cpp

@echo“VERILATING~~~”

verilator -Wall --trace --x-assign unique --x-initial unique -cc $(MODULE).sv --exe tb_$(MODULE).cpp

@echo“BUILDING SIM~~~”

make -C obj_dir -f V$(MODULE).mk V$(MODULE)

clean:

rm -rf ./obj_dir

rm -rf waveform.vcd

3. makefile 的命令解读

(1)verilator -Wall --trace --x-assign unique --x-initial unique -cc $(MODULE).sv --exe tb_$(MODULE).cpp

Wall : 使能产生警告

cc : 将.sv文件转为C++文件

exe : 指定C++的测试文件

目的:生成obj_dir 文件夹

(2)make -C obj_dir -f V$(MODULE).mk V$(MODULE)

目的:将.mk文件转为可执行的文件

(3)./obj_dir/V$(MODULE) +verilator+rand+reset+2

目的:执行生成的可执行文件

(4)gtkwave waveform.vcd

目的:将程序执行之后生成的波形文件打开

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

kevin_wuminghao

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值