quartusII综合报错 Error (10028): Can‘t resolve multiple constant drivers for net

出现这个错误的原因在于,在不同的always逻辑块中,对同一个reg变量进行了赋值。在多个alwasy逻辑块同时并行工作的时候,会出现冲突。解决的办法就是,对于一个变量,只在一个always块中,进行赋值。

例如我的代码中,分别有2个always块,内部分别对同一个变量 sda_out 进行的赋值

always@ (*)        
      case(state)
        IDLE:
            sda_out <= 1'b1;
        
        START:
            if(cnt_i2c_clk <= 2'd0)
                sda_out <= 1'b1;                 
            else
                sda_out <= 1'b0;    


always@ (*)        
      case(state) 
        ACK_1,ACK_2,ACK_3,,ACK_4,ACK_5:    
            if(cnt_i2c_clk == 2'd0) 
                ack <= sda_in;   
            else 
                ack <= ack;         
            default:
                sda_out <= 1'b1;  // 估计是粘贴复制,未修改,此处应该为ack <= 1'b1;
















  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值