基于“移位拼接”的打拍处理手法

打2拍 

`timescale  1ns/1ns 
module   test_dapai
(  
   input  sys_clk  ,
   input  sys_rst_n,
   input  sig_in   ,
   
   output sig_out
  
);

//定义中间变量
reg   [1:0]  sig_r;

always@(posedge sys_clk or negedge sys_rst_n) begin
   if(!sys_rst_n) begin
    sig_r <= 2'b00;     
   end
    
   else begin
    sig_r <={sig_r[0],sig_in}; //注意,有非阻塞赋值特性,此sig_in为上一周期值
   end
   
end
/* 相当于在每一个时钟上升沿,于本周期将sig_in信号上一周期的值取出,存储在sig_r低位 */
/* 然后在下一个周期,再转移到sig_r高位读出,延迟了2个周期即打了2拍     */

assign  sig_out = sig_r[1];
  
endmodule

仿真代码

`timescale 1ns/1ns
module   tb_test_dapai();
//模拟输入信号
reg        sys_clk   ;
reg        sys_rst_n ;
reg        sig_in    ;

//模拟输出信号
wire  sig_out;

initial 
    begin
        sys_clk       = 1'b0;
        sys_rst_n    <= 1'b0;  //注意在仿真文件中,何时选择"非阻塞赋值"
        sig_in       <= 1'd0;
        
        #30
        sys_rst_n <= 1'b1;             
        sig_in    <= 1'd1;
        
        #20                
        sig_in   <= 1'd0;                        
    end 

//***生成时钟信号***//
always #10 sys_clk =~sys_clk;

//实例化
test_dapai    test_dapai_inst 
(  
   .sys_clk   (sys_clk  ),
   .sys_rst_n (sys_rst_n),
   .sig_in    (sig_in   ),
              
   .sig_out   (sig_out)
  
);

endmodule

仿真结果

如上图所示,输出信号sig_out相对于输入信号sig_in延迟了两个周期,相当于打了“2拍”

此方法适用于“脉冲控制信号” “单一变化控制信号

打3拍

always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
        RGB_de_r    <= 3'b0;      
    end
    else begin  
        RGB_de_r    <= {RGB_de_r[1:0],    RGB_de};

        //相当于左移1位,去掉最高位,最低为拼接 RGB_de
       
    end
end

assign gray_de    = RGB_de_r[2]; //输出信号延迟3个周期,即打了3拍

  • 4
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值