Quartus Error (10028): Can‘t resolve multiple constant drivers for net XX

问题描述:

在编写Verilog的时候出现了如上的问题

原因分析:

英文翻译过来就是:

无法解析网络的多个常量驱动程序

那么猜测应该是在多个always对同一个寄存器进行了赋值操作,导致信号冲突了,查看了代码后确实也发现了有这个问题

同时为了确定自己的想法没错,查找了论坛,大佬给出的解释如下,十分生动形象
在这里插入图片描述

解决方案:

把原来在多个always里的进行赋值的寄存器放到同一个always进行操作

  • 2
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值