自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(21)
  • 资源 (8)
  • 收藏
  • 关注

原创 csh/python/tcl常用功能

csh/pyhon/tcl常用功能小总结

2022-12-12 15:39:40 1198 1

原创 Redhawk如何分析SignalEM

Redhawk做signalem分析的方法

2022-12-12 15:18:21 3373

原创 Skipper自动获取gds里的pad坐标和label

自动获取gds中的pad信息,包括pad center,pad rect,net name

2022-12-12 14:39:53 1881 1

原创 如何使用Skipper工具命令实现脚本化

之前是使用calibredrv脚本处理gds,现在有新需求要使用skipper实现相同的功能。在脚本实现过程中用到的主要命令总结一下。

2022-08-01 11:20:03 3418

原创 CRG、CCO是什么

简单了解一下DFT知识主要有三种基本测试1,边界扫描测试(boundaryscantest)测试目标是IO-PAD,利用jtag接口互联以便测试。2,BIST测试MEM,MBIST。3,扫描测试(APTG)测试目标stdlogic。

2022-08-01 10:17:53 2651

转载 什么是Corner?

芯片制造是一个物理过程,存在着工艺偏差(包括掺杂浓度、扩散深度、刻蚀程度等),导致不同批次之间,同一批次不同晶圆之间,同一晶圆不同芯片之间情况都是不相同的。在一片wafer上,不可能每点的载流子平均漂移速度都是一样的,随着电压、温度不同,它们的特性也会不同,把他们分类就有了PVT(Process,Voltage,Temperature),而Process又分为不同的corner: TT:Typical N Typical P FF:Fast N Fast P SS:Slow N Slow P FS:Fast

2022-07-05 16:15:52 9299 1

原创 ITF转Redhawk tech

redhawk itf转tech

2022-07-05 15:59:55 797

原创 数字后端各种Cell相关概念汇总

数字后端各种Cell相关概念汇总数字电路器件反相器逻辑门触发器锁存器半加器全加器半减器全减器数字后端常见Cell相关名词bufferrepeaterdelay cellendcap celltap celldecap celltie cellfiller cellspare cellclock gating cellICG cell数字电路器件反相器反相器是最基础的MOS器件,英文是inverter,一般缩写为INV。反相器对信号有驱动作用,但是会使信号反相。反相器由NMOS和PMOS组成,栅端(

2022-02-21 19:15:42 13275

原创 什么是in-die variation

什么是in-die variation导语:XCAL_EXCL_INDIE是PEX RC RULE中存在的option,是影响in-die variation计算的option。那么在什么时候要打开这个option,以及这个option起到什么作用。在manual中收集到了以下资料。总结:XCAL_EXCL_INDIE是PEX RC RULE中存在的option,平时抽点到点电阻是不加metal dummy的,所以打开此option(打开option表示关掉 in-die variatio

2021-12-03 15:39:43 761

转载 STARRC rule 和PEX RC rule转换

STARRC rule 和PEX RC rule转换转自:https://mp.weixin.qq.com/s/-Uc9Ra9MXXkKd3QbTdeuaA抽取寄生参数是我们工作中经常做的事情,目前来说三家EDA 都有抽取工具,分别是StarRC, XRC,QRC,其中QRC现在有个升级版本Quantus,但是由于calibre在DRC 和LVS方面太强,所以一般都会提供calibre LVS + StarRC 或者QRC的flow。既然都是用calibre LVS 的database那model之间

2021-12-02 15:46:40 6212 6

原创 如何使用Redhawk验证ESD承压(未完成)

如何使用Redhawk验证ESD承压能力(未完成)Redhawk环境licenseconfig.gsrredhawk_perform.cmdclamp.fileesd_check_current.rule执行命令ESD验证结果Redhawk环境license需要esd 验证用的pathfinder_soc的future。参考eetop中的帖子:https://bbs.eetop.cn/thread-563818-1-1.htmlINCREMENT pathfinder_soc apacheda

2021-11-30 09:20:02 1061

原创 如何使用Calibre PERC抽出 点到点/p2p 电阻

@[TOC](如何使用Calibre PERC抽点到点(p2p)电阻)一、前言需要实现的功能是从gds中抽出net的点到点的电阻。如下图这样。二、准备工作lvs rulepex rule(必要时可以自己定义RC rule)gdsp2p点的坐标定义prode.defs因为p2p的点是变化的,所以每次prode定义都要重新出,对应的perc rule也是根据每个p2p点的定义来的,perc rule也是每次都要重新出。如果需要可以写一个脚本自动出这些定义文件。PROBE_POINTS

2021-11-08 16:07:42 7332 3

原创 如何使用PTPX预估芯片功耗

如何使用PTPX预估芯片功耗一、文件准备二、简易版PTPX环境脚本三、预估精度测试工作中往往想要在项目初期就预估出芯片的功耗,以判断前端代码是不是还需要继续优化。PTPX使用前端提供的波形和网表就可以预估出芯片的功耗大小。下面详细介绍下使用方法,以及这样的方法预估的功耗精度如何。一、文件准备1.前端提供通过RTL网表仿真得到的波形文件:fsdb/vcd2.定义基础库功耗的库文件:std cell/memory 的db文件3.芯片时序约束文件:sdc文件。二、简易版PTPX环境脚本主要注意设置

2021-11-08 15:24:56 4115 5

原创 如何使用Skipper自动追踪解决LVS Short

使用skipper解决short在skipper中实现跨层trace net在skipper中查找short最近在debug LVS的时候,发现skipper可以根据坐标追踪short的位置。有了这个功能可以更快捷的解决short,通过LVS验证。做了一个简单的资料,分享一下。在skipper中实现跨层trace net在使用skipper查找short之前,要先实现能够跨层trace net。如下图左边绿色的框里,定义好layer层次以及via的连接关系。然后照着下图右边的使用方法导入skipp

2021-09-28 10:06:20 2655 1

原创 innovus Tcl 学习索引:快速上手innovus Tcl

innovus Tcl 学习索引:快速上手innovus Tcl一、innovus Tcl 之 数据获取dbGetget_* 获取数据集合get_property二、innovus Tcl 之 数据处理控制语句字符操作列表操作集合操作三、innovus Tcl 之 Others读写文件:open/close调用Linux命令:exec程序块:proc拓展四、innovus Tcl 之 参考用例dbGet 参考用例get_* 参考用例首先在开始学习之前需要对innovus Tcl有一个大体的认识。如下图所示

2021-09-27 10:30:40 9276 6

原创 使用PVS生成MetalDummy的实现方法

使用PVS生成MetalDummy的实现方法目录实现流程图——考虑MetalDummy的情况下进行TimingECORelease 文件一览使用PVS生成MetalDummy的相关文件使用PVS生成MetalDummy的执行方法如何预防dummy对Critical Net的影响?dummy生成后发现有新增了timing违例怎么办?trimMetalFillNearNet:修剪Critical Net周围的dummyAPPENDIX粗略测试使用此rule生成的Metal dummy对timing的影响本文章

2021-08-26 12:24:52 1266 1

原创 Cadence PVS (Physical Verification System) rule 语法详解

Cadence Physical Verification System rule 语法详解一、 PVS rule 和 Calibre rule的常用语法对照二、 PVS rule 中使用TCL BLOCKTCL BLOCK的使用方法TCL BLOCK中使用porcTCL BLOCK中使用foreach三、 PVS rule 中使用#DEFINE/#IFDEF/#ENDIF一、 PVS rule 和 Calibre rule的常用语法对照注:pvs rule中的运算字符串不区分大小写,由于manual里

2021-08-05 17:43:48 4371 3

原创 Redhawk解析PAD / IOPAD的实现方法

Redhawk解析IOPAD的实现方法1. IOPAD DEF的概念说明2. 生成 IOPAD GDS文件3. 生成 IOPAD DEF文件4. Redhawk解析过程中读取IOPAD DEF本文主要简述【Redhawk解析IOPAD】的实现原理方法,具体脚本没有放在这里。1. IOPAD DEF的概念说明2. 生成 IOPAD GDS文件注:getinfo2IODEF.tcl 是基于innovus获取IOPAD的摆放信息以及库信息。run_cerate_iopadgds.csh 是基于c

2021-08-02 19:48:53 2273

转载 Congestion 问题怎么解决?

Congestion 问题怎么解决?1、RTL阶段2、PR阶段1、宏单元与宏单元之间2、宏单元与标准单元之间3、标准单元与标准单元之间3.1局部高密度标准单元引起的congestion3.2局部高密度pin cell导致的congestion4、Power Mesh与标准单元之间5、Power Mesh与宏单元之间参考文章:http://www.52-ic.com/1029.html1、RTL阶段一般是由大的MUX、大的Crossbar造成的,解决方法是将设计拆分,大模块分成小模块;对于大扇入的MU

2021-07-26 16:15:59 1567

原创 修复setup violation的方法总结

innovus修复setup violation的方法总结简单来说,setup检查是为了检查数据传输不能太慢,否则,在capture edge就不能正确的锁存数据。修复setup的方法分为以下几种。1. DCG综合DCG是DC的SPG MODE。其中包含了虚拟布局布线技术,使得在RTL综合期间预测布线拥堵。从而自动优化网表以达到减少cong.的情况。参考文件:https://max.book118.com/html/2018/1014/8076024016001127.shtm2. place 阶

2021-07-19 17:29:05 10870

原创 如何用CalibredrvMergeGDS

如何用CalibredrvMergeGDS文章目录如何用CalibredrvMergeGDS一、Calibredrv中用于merge gds的基本命令1.layout create2.create cell3.create ref4.import layout5.layout merge6.exists cell7.topcell8.gdsout二、基于Calibredrv使用的merge gds的tcl脚本示例总结一、Calibredrv中用于merge gds的基本命令1.layout cre

2021-05-07 10:18:40 9573 8

dxfgrabber.pdf

python dxf库的使用说明,用于对dxf文件进行操作。

2022-12-12

SMIC40_PVS_BEOL_FEOL_DUMMY.txt

基于SMIC40、PVS编写的生成metal dummy的rule。 经测试生成的dummy不会对timing造成影响。 rule文件需要配合Cadence PVS、innovus工具一起使用。

2021-08-26

使用PVS生成MetalDummy的实现方法_210806.pptx

使用PVS生成MetalDummy的实现方法_210806.pptx

2021-08-26

Redhawk解析IOPAD的实现方法_210713.pptx

Redhawk中将IOPAD读入并解析的实现方法总结。供参考。

2021-07-26

RedHawk_User Manual_v11_1.pdf

Redhawk Manual V11.1版本,适用于数字后端PowerAnalysis

2021-04-06

RedHawk_User_Manual_v18_1.pdf

RedHawk_User_Manual_v18_1.pdf

2021-04-06

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除