如何使用PTPX预估芯片功耗

本文介绍了如何使用PTPX进行芯片功耗预估,包括文件准备、环境脚本配置和预估精度测试。通过设置基础库、波形文件、时序约束,执行PTPX运行并分析报告,得出功耗预估与实际功耗误差通常在10%以内。后端设计中的std cell增加和复杂的时钟设计会影响功耗结果。
摘要由CSDN通过智能技术生成


工作中往往想要在项目初期就预估出芯片的功耗,以判断前端代码是不是还需要继续优化。
PTPX使用前端提供的波形和网表就可以预估出芯片的功耗大小。下面详细介绍下使用方法,以及这样的方法预估的功耗精度如何。

一、文件准备

1.前端提供通过RTL网表仿真得到的波形文件:fsdb/vcd
2.定义基础库功耗的库文件:std cell/memory 的db文件
3.芯片时序约束文件:sdc文件。

二、简易版PTPX环境脚本

主要注意设置的地方:
1.设置基础库,下面的脚本是设置的tt_db变量,写在了tcl文件里。
2.各block和top的verilog网表。用于提供里面使用到的memory和std。
3.设置sdc文件和RTL波形,提供时钟信息,和时钟翻转率等。
以上的变量设置好了,就可以执行pt开始run。
输出的功耗结果在指定的report文件中查看就可以了。

###########################################
#   Set the power analysis mode
###########################################
set power_enable_analysis true
set power_analysis_mode averaged
###########################################
#    read and link the gatelevel netlist
###########################################
if { [file exists log] == 0} {
    e
  • 0
    点赞
  • 34
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 5
    评论
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小林陽

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值