自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

Studying……

Studying……

  • 博客(13)
  • 资源 (63)
  • 收藏
  • 关注

原创 STM32学习笔记(7):USART串口的使用

STM32学习笔记(7):USART串口的使用2011年4月19日USART串口通信1.串口的基本概念在STM32的参考手册中,串口被描述成通用同步异步收发器(USART),它提供了一种灵活的方法与使用工业标准NRZ异步串行数据格式的外部设备之间进行全双工数据交换。USART利用分数波特率发生器提供宽范围的波特率选择。它支持同步单向通信和半双工单线通信,也支持LIN(局部互联网),智能

2015-08-27 09:19:33 4904

翻译 如何将RTOS添加到ZYNQ SoC设计中

在寻求获得来自处理系统内的赛灵思Zynq®-7000全可编程SoC的最大利益,操作系统将让你更不是一个简单的裸机解决方案。任何开发ZYNQ SoC设计有大量的操作系统可供选择,并根据最终应用程序,你可以选择一个实时版本。一个RTOS是您最好的选择,如果你是在工业,军事,航空航天或在响应时间和可靠的性能要求,以防止生命或伤亡的,或者实现严格的绩效目标等具有挑战性的环境中使用的SoC ZYNQ。

2015-08-12 17:14:17 3734

原创 如何在xilinx SDK中显示行号

Window→preferences→editor→test editor对ecilpse的通用方法打开Eclipse软件,在菜单中选择窗口——首选项,打开新的窗口。在新的窗口中依次选择常规——编辑器——文本编辑器。在文本编辑器的窗口中勾选显示行号,然后点击确定按钮。 修改字体颜色

2015-08-12 15:47:29 4440 1

原创 vivado中改变代码字体的大小

Tools→options

2015-08-12 15:45:47 20802 3

翻译 ucos iii在zynq上的移植

介绍软件要求硬件要求硬件设计步骤1.调用Vivado IDE和创建项目步骤2.创建一个IP集成器设计第3步:添加和设置ZYNQ处理器系统的IP块步骤4.自定义ZYNQ块我们的设计第5步:添加软外设第6步:生成HDL设计文件第7步合成,实施和生成流软件设计步骤1.安装了μC/ OS系统信息库第2步:生成μC/ OS BSP第3步:构建和调试的示范项

2015-08-11 12:05:13 11119 3

原创 vivado中创建一个zynq嵌入式系统

根据zedboard_CTT_v2013_2_130807 的P17创建一个嵌入式系统 添加ZYNQ7 1、双击以自定义处理系统设置 在重新定制IP窗口的默认视图显示了处理ZYNQ系统框图。该窗口可以编辑ZYNQ的任何财产PS。点击每个页面导航选项的左侧审查在PS属性可编辑。点击在顶栏的预设按钮,选择ZedBoard发展董事会模板,然后单击取消。你不需要申请任何ZedBoard预设,因为这已经

2015-08-10 17:59:39 7073

原创 谈谈Zedboard

现在大家陆续都拿到板子了,各种测试都开始鸟。在板子和钱包能容忍的范围内,尽情折腾吧!这个帖子是对现在的资源做一个小结性的统计,欢迎大家在后面跟帖,丰富资源。1、板子在上电前,一定要留意板子右上角5个并排的跳线。这是设置板子启动方式的。 例如SD卡启动的跳线插法,JTAG启动的跳线插法。2、现在SD卡里面的Linux是没有GUI的,不是Linaro,需要UART口连接电脑

2015-08-10 17:56:06 1440

原创 xilinxSDK的项目管理

创建一个完整的SDK项目档案File à ExportGeneral à Archive File.Select File à Export. SelectRun/Debugà Launch Configurations,File à Export. SelectRun/Debug à Breakpoints,打开创建的SDK项目Select File à Impo

2015-08-10 17:54:32 1560

原创 zedboard的板级支持包GPIO例子

板级支持包例子xgpio_example.cThis file contains a design example using the GPIO driver(XGpio) and hardware* device.  It onlyuses a channel 1 of a GPIO device.** This example can be ran on theXi

2015-08-05 20:17:16 1830

原创 zedboard中断实现

关于zedboard中断的博客http://m.blog.csdn.net/blog/oxp7085915/17378687http://www.tuicool.com/articles/mY3qIvi在系统编程的中断处理程序,也称为中断服务例程(ISR),在微控制器固件,操作系统回调子例程,或设备驱动器,其执行是由一个硬件中断的接收触发。中断处理程序中有大量的功能,这些功能的基础上的

2015-08-05 20:16:09 3585

原创 zedboard中断main

//Description:         Zed LED DimmerExample//Revision:            Oct 25, 2013: 1.00Initial version//----------------------------------------------------------------------------/***************

2015-08-05 20:13:05 1705

原创 zedboard 中断

/*该文件包含使用GPIO驱动程序(XGpioPs)在一个设计实例操作中断驱动模式。*该示例使用GPIO的中断能力检测按钮,事件并设置基于输入输出的LED。用户需要按评估板上所有的开关SW1-SW5从这个例子中退出。*@注意:*本示例假定有一个UART设备在硬件设计。*//***************************** Include Files ***************

2015-08-03 13:55:07 2168 1

原创 zedboard 8路拨码开关

源代码

2015-08-01 14:27:49 2406

mouseRGB.rar

vi2015+opencv2 写的获取图像中某一点的RGB值的程序。鼠标取点,数值打印在图片上。 vi2015+opencv2 写的获取图像中某一点的RGB值的程序。鼠标取点,数值打印在图片上。

2020-06-09

puma560的运动学正解和逆解的C++源码.cpp

puma560的运动学正解和逆解的C++源码.cpp puma560的运动学正解和逆解的C++源码.cpp puma560的运动学正解和逆解的C++源码.cpp

2020-01-13

机器人学 机器视觉与控制 MATLAB算法基础_中文版及源代码.zip

Robotics,Vision and Control. Fundamental Algorithms in MATLAB的中文版 机器人学 机器视觉与控制 MATLAB算法基础 原书代码

2019-08-31

章国锋-面向增强现实的单目视觉惯性SLAM算法评测.pdf

章国锋-面向增强现实的单目视觉惯性SLAM算法评测.pdf

2019-08-23

谭平-Towards Dense Monocular SLAM.pdf

谭平-Towards Dense Monocular SLAM.pdf

2019-08-23

state estimation for robotics 2017.pdf

state estimation for robotics 2017.pdf

2019-08-23

pdf翻译工具合集.zip

自己整理的pdf翻译小工具,有些需要运行库,有些需要扫描wx获得二维码,请自行测试使用。翻译功能尚可,但是有些内容需要重新排版。

2019-08-21

能用的pdf翻译工具.7z

能用的pdf翻译工具.

2019-08-21

TC358870XBG_Evaluation Board(评估板原理图).pdf

TC358870XBG_Evaluation Board(评估板原理图).pdf

2019-07-31

TC358870XBG_DataSheet_完整版_311页.rar

TC358870XBG_DataSheet_完整版_311页.rar

2019-07-31

AM335数据手册-datasheet.rar

AM335数据手册-datasheet.rar

2019-07-30

AM335引脚快速查看的软件PinMuxUtility.rar

AM335引脚快速查看的软件PinMuxUtility.rar

2019-07-30

C++设计模式代码资源及课件.tar.gz

C++设计模式代码资源及课件.tar.gz

2019-07-19

C++公开课---算法原理与实践课件.tar.gz

C++公开课---算法原理与实践课件.tar.gz

2019-07-19

C++公开课--系统设计与实践课件.tar.gz

系统设计与实践课件.tar.gz

2019-07-19

window串口模拟工具-可以模拟出串口用于测试

window串口模拟工具-可以模拟出串口用于测试

2019-07-18

matlab与V-rep连接的例子.rar

matlab与V-rep连接的例子.rar

2019-07-18

机器人操作手:数学、编程与控制.pdf

机器人操作手:数学、编程与控制.pdf

2019-07-18

Open EtherCAT Society_ Home of SOEM and SOES.pdf

Open EtherCAT Society_ Home of SOEM and SOES.pdf

2019-07-16

ROS与Python入门教程.pdf

ROS与Python入门教程.pdf

2019-07-16

ROSTutorials中文版20150726.pdf

ROSTutorials中文版20150726.pdf

2019-07-15

V-REP 仿真器使用入门简易教程.pdf

V-REP 仿真器使用入门简易教程

2019-06-04

ubuntu安装教程

ubuntu安装教程

2018-11-18

基于Zynq和Xenomai的EtherCAT MIP开发方案

基于Zynq和Xenomai的EtherCAT MIP开发方案

2018-11-04

Configuring TwinCAT For AM335x

Configuring TwinCAT For AM335x

2018-11-04

EtherCAT主站开发方案-含ZedBoard和X86测试数据

EtherCAT主站开发方案-含ZedBoard和X86测试数据 EtherCAT主站开发方案-含ZedBoard和X86测试数据

2018-11-04

ethercat技术

ethercat技术

2018-11-04

Qt样式表武林秘籍.pdf

Qt样式表武林秘籍.pdf

2018-10-28

在Python中实现单例模式.docx

在Python中实现单例模式.docx

2018-10-28

编程小白的第一本Python入门书.pdf

编程小白的第一本Python入门书.pdf

2018-10-28

HTML与CSS基础参考书.pdf

HTML与CSS基础参考书.pdf

2018-10-28

Django框架完整版.doc

Django框架完整版.doc

2018-10-28

碰撞检测开源工具箱

碰撞检测开源工具箱 潘佳 FCL

2018-10-28

《IS620N系列伺服设计维护使用手册》)-20170818new

《IS620N系列伺服设计维护使用手册》)-20170818new 《IS620N系列伺服设计维护使用手册》)-20170818new

2018-07-20

基于kithara实现的伺服电机控制代码-VisualStudio-ethercat

基于kithara实现的伺服电机控制代码-VisualStudio-ethercat 基于kithara实现的伺服电机控制代码-VisualStudio-ethercat

2018-07-20

socketing-timer-struct解析正确

QT5上实现的socket通讯;包括客户端及服务器程序 QT5上实现的socket通讯;包括客户端及服务器程序

2018-07-20

黑金AX301开发板实现sobel边缘检测算法

黑金AX301开发板实现sobel边缘检测算法 黑金AX301开发板实现sobel边缘检测算法

2017-11-29

黑金AX301开发板实现ov7060摄像头驱动显示到VGA

黑金AX301开发板 实现ov7060摄像头 驱动显示到 VGA

2017-11-29

vivado license 2017.11最新上传

vivado 的 license 2017.11最新上传 亲测可用 谢谢 !!

2017-11-29

零基础入门学习Python+全套源码课件-.zip

零基础入门学习Python+全套源码课件-.zip

2019-07-18

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除