自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(19)
  • 收藏
  • 关注

原创 $value$plusargs(“user_times=%d“,rtl_times)

module test; int rtl_times; bit rtl_switch; initial begin if($value$plusargs("user_times=%d",rtl_times))begin $display("canli get the param from user is %d",rtl_times); end else begin $display("canli not get the param f.

2022-02-17 20:12:19 181

原创 queue的数组

queue的数组

2022-02-17 19:48:15 495

原创 systemverilog bind

Edit code - EDA Playground// Code your testbench here// or browse Examplesmodule dut(clk,rst_n,vld,rdy,data); input clk; input rst_n; input vld; output reg rdy; output reg[31:0] data; // 注意要写reg这个数据类型哦,wire,line18会报错 bit[31:0] count;

2022-02-14 20:27:34 1854

原创 $fell,$stable,$rose,$past

1)A,B都是pulse信号2)B在A出现 4-6拍以后出现3)C在A出现的 3-4拍以后出现4)C在拉高以后,会保持拉高,直到B拉低的同一拍拉低C_AFTER_A: assert property(@(posedge clk) $rose(A) |-> ##[4:6] $rose(B));// 方法1 实现要求4B_FELL_C_FELL: assert property(@(posedge clk) $fell(B) |-> $fell(C));C_FELL_

2022-02-14 19:08:22 964

原创 vld/rdy协议 断言

1)当vld拉高,但是rdy还没拉高时,vld和data需要保持稳定。VLD_RDY_ASSERT : assert property(@(posedge clk) vld && $past(vld) && !$past(rdy) |-> $stable(data)).注:$stable() : 是这一拍和上一拍对比,数据有没有变化写断言的时候,也要注意是 vld的值,还是vld的上升沿和下降沿,这个也是蛮重要的。...

2022-02-14 09:30:05 814

原创 SystemVerilog中Queue的操作

1)定义:eg: int a[$];// 定义int类型的queue2) find方法:所有find方法都返回的是一个queue,包括find_index 和 find itemfind_index()find_first_index()find_last_index()find()find_first()find_last()3) delete方法。del...

2022-02-10 13:51:10 4064

原创 挂载(mount)

Linux中,所有的数据都是由文件的形式呈现的,整个Linux中使用的是目录树架构,但其实,我们所有的数据都是放置在磁盘分区中的,所以如何结合目录树结构与磁盘中的数据呢?这就牵扯到挂载了。挂载:利用一个目录当成进入点,将磁盘分区的数据放置在该目录下; 也就是 说,进入该目录就可以读取该分区的意思。这个动作我们称为“挂载”,那个进入点的目录我们 称为“挂载点”。上图中假设我的硬盘分为两个分区,partition 1是挂载到根目录,至于...

2022-01-28 16:10:46 2068

原创 BIOS搭配MBR/GPT的开机流程

鸟哥教你学linux

2022-01-28 15:09:58 3423

原创 磁盘的组成、MBR、GPT

1)磁盘主要由磁盘盘,机械手臂,磁盘读取头,主轴马达组成‘2)株距的写入主要在磁盘盘上,磁盘盘上面又可以细分为扇区,磁道两种单位。其中扇区又有两种大小:512bytes,4kbytes3)磁柱,多个磁盘盘的同一个磁道,我们称之为磁柱。通常是文件系统的最小单位,也是分区操的最小单位。4)磁盘盘示意图5)MSDOS(MBR)和GPT 磁盘分区表什么是分区表?磁盘只有经过分区之后才可以正常被使用。MBR:(Master Boot record 主要开机记录区),这个区通常有512byt

2022-01-28 14:19:14 2440

原创 写一个module

module的定义和例化

2022-01-28 11:16:24 550

原创 个人计算机架构和相关的设备组件

主板

2022-01-24 22:51:51 1606

原创 如何写一个好checker

如何写一个好checker

2022-01-22 21:38:29 288

原创 IC验证,CPU冒烟流程?

IC验证,CPU冒烟流程

2022-01-17 22:04:50 1121

原创 EFL文件

elf只是一种文件格式哦,意思不管是二进制文件,16进制文件,可执行文件,都可以被称之为elf文件哦,只要该文件满足以下的格式要求。

2022-01-17 21:42:55 1023

原创 StstemVerilog中的约束 constraint

1)关键字:extends2)constraint_mode()3)soft 约束有冲突的话,不报错,加soft的约束优先级变低,以另一个为准4)对pre_randomize() 方法的集成5)rand_mode(0),关闭某个变量的随机,会保持初始值0.6)inside关键字7) constraint -> ,相当于if前置条件

2022-01-12 23:59:28 5075

原创 SystemVerilog中随机数组生成

systemverilog中array的随机

2022-01-11 23:58:59 1408

原创 计算机的五大部分

计算机的五大组成部分

2022-01-10 23:32:07 226

原创 BIOS介绍

主板上有一块很小的存储空间,名字叫BIOS(Basic input output system),BIOS中存储了一段非常重要的程序。BIOS是个人电脑启动之后,加载的第一个程序哦。主要是为计算机提供最底层,最直接的硬件设置和控制。

2022-01-09 23:05:02 3267

原创 软件的一生

程序员编写好一个软件,到最后的执行出来结果,中间经历了哪些洗礼呢?主要是:编译器,操作系统,驱动,底层硬件。下面我们依次介绍下,软件诞生到执行处结果的整个历程吧。

2022-01-08 19:54:58 284

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除