SystemVerilog中随机数组生成

1)使用for循环或者foreach对数组中的每个元素进行初始化

2)unique{数组名称}:对数组内的元素去重

3)数组名称.sum() < 100:对数组求和,并要求和小于100。假如数组中元素最大是8bit的,那使用sum方法的和,一旦超过8bit,高bit位就被舍弃掉,所以,最好对数组中的每个元素进行数据类型转换,防止高位溢出

4)数组名称.sort():对数组进行排序

// array constraint

class array_cons;
  
  rand bit[10:0] phone_type[];
  
  constraint c_array{
    phone_type.size()==7;
    
    //use foreach to constraint phone_type
    foreach(phone_type[i]){
      phone_type[i] inside {[0:6]}; // 在0-6中随机
      //phone_type[i] inside {0,6}; //每一个数据不是0就是6
    }
    
    unique{phone_type};
    //注意这里是大括号,小括号会报错。
    //增加完这句话之后,因为数组的size是7,我的随机范围也是0-6,一共七个数字,所以,随机出来的数组中的每个元素都是不一样的哦。
    
    phone_type.sum() with (int'(item)) < 100;
    //如果定的sum < &#
  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值