StstemVerilog中的约束 constraint

目录

1)关键字:extends

2)constraint_mode()

3)soft 约束有冲突的话,不报错,加soft的约束优先级变低,以另一个为准

4)对pre_randomize() 方法的集成

5)rand_mode(0),关闭某个变量的随机,会保持初始值0.

6)inside关键字

7)  constraint -> ,相当于if前置条件


1)关键字:extends

        1、子类中对同一个变量的约束要和父类有交集,如果没有交集,会报error

class father;
  rand bit[10:0] data;
  constraint c_data{
    data >=10;
    data <=30;
  }
endclass

class son extends father;
  constraint c_son_data{
    data >=40;
    data <=100;
  }
endclass

module test;
  initial begin
    father fa;
    son    so;
    
    fa = new;
    so = new;
    
    fa.randomize();
    so.randomize();
    
    $display("canli test");
  end
endmodule

   运行结果:  

Error-[CNST-CIF] Constraints inconsistency failure
testbench.sv, 25
  Constraints are inconsistent and cannot be solved.
  Please check the inconsistent constraints being printed above and rewrite 
  them.

    注意哦,只要有交集就好咯,子类对某个变量的约束不需要是子集哦。

    如下所示,父类data范围10-30,子类data范围时29-250,有交集29-30,所以正常随机,随机出来是30.

class father;
  rand bit[10:0] data;
  constraint c_data{
    data >=10;
    data <=30;
  }
endclass

class son extends father;
  constraint c_son_data{
    data >=29;
    data <=250;
  }
endclass

module test;
  initial begin
    father fa;
    son    so;
    
    fa = new;
    so = new;
    
    fa.randomize();
    so.randomize();
    
    $display("father's data is %d",fa.data);
    $display("son's data is %d",so.data);
    
  end
endmodule

    运行结果

father's data is   14
son's data is   30

2)constraint_mode()

        1、constraint_mode(0),关闭约束,也就是说,本来约束是10-30之间,关闭之后,就是0到2的10次方-1.

     

  • 7
    点赞
  • 38
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值