1)A,B都是pulse信号
2)B在A出现 4-6拍以后出现
3)C在A出现的 3-4拍以后出现
4)C在拉高以后,会保持拉高,直到B拉低的同一拍拉低
C_AFTER_A: assert property(@(posedge clk) $rose(A) |-> ##[4:6] $rose(B));
// 方法1 实现要求4
B_FELL_C_FELL: assert property(@(posedge clk) $fell(B) |-> $fell(C));
C_FELL_B_FELL:assert property(@(posedge clk) $fell(C) |-> $fell(B));
//方法2实现要求4
C_STABLE: assert property(@(posedge clk) $past(C) && (!$past(B) || $rose(B)) |-> $stable(C));
B_ROSE_C_STABLE: assert property(@(posedge clk) $fell(B) -> $fell(C))