VHDL数字系统设计与应用课程
在校课程记录
~莘莘
阅读使人充实,会谈使人敏捷,写作与笔记使人精确
展开
-
《VHDL数字系统设计与应用》在校课程记录——实验七:计数器设计实验
一、实验内容:1、设计一个 5 进制减法计数器,并将结果显示在数码管上。2、设计一个有时钟使能的 2 位十进制加法计数器,并将结果显示在数码管上。二、实验步骤:数码管显示参照《VHDL数字系统设计与应用》在校课程记录——实验六:七段数码显示设计实验1、实验一:自建模块 VHDL 模型:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity SUB_5 is port (原创 2021-11-09 23:34:23 · 1915 阅读 · 2 评论 -
《VHDL数字系统设计与应用》在校课程记录——实验六:七段数码显示设计实验
一、模块准备:创建工程时将老师给的显示模块和分频模块的 .vhd 文件加入到工程中:1、显示模块的 VHDL 模型:--//**-----------------文件信息-------------------------------------//**文 件 名: decl7s.vhd--//**创 建 人: --//**最后修改日期: --//**描 述: 显示模块--//** --//*----------------原创 2021-11-03 18:42:03 · 2524 阅读 · 0 评论 -
《VHDL数字系统设计与应用》在校课程记录——实验五:触发器设计实验
一、D 触发器:1、概述:2、VHDL 描述:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity DFF1 is port ( clk,d : in std_logic; q : out std_logic );end entity;architecture rtl of DFF1 isbegin p1:process(clk)begin if(clk'原创 2021-11-01 22:58:46 · 1055 阅读 · 0 评论 -
《VHDL数字系统设计与应用》在校课程记录——实验四:组合逻辑3-8译码器的设计实验
一、概述:二、VHDL 描述:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY decoder_38 IS PORT( i : IN STD_LOGIC_VECTOR(2 DOWNTO 0); en : IN STD_LOGIC; y : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) );END decoder_38;ARCHITECTURE one OF decoder_38 IS BEGIN P原创 2021-11-01 23:46:08 · 504 阅读 · 0 评论 -
《VHDL数字系统设计与应用》在校课程记录——实验三:基本组合逻辑电路的 VHDL 模型
一、新建工程二、新建 VHDL 文件:1、新建 VHDL File:2、保存文件注意:文件名必须和实体名一样三、编写程序:1、可以插入 VHDL 程序模板:或者右击选择Insert Template打开:2、编写程序:如二选一数据选择器:library ieee;use ieee.std_logic_1164.all;entity mux2_1 is port ( a,b,s : in bit; y : out bit );end entity;a原创 2021-10-20 15:58:56 · 428 阅读 · 0 评论 -
《VHDL数字系统设计与应用》在校课程记录——实验二:全加器的设计
一、绘制原理图:1、半加器原理图:2、生成波形图:二、生成模块(半加器模块):三、使用生成的“半加器”模块绘制1位全加器电路图:1、一位全加器原理图:2、添加“半加器”元件:3、生成波形图:四、下载程序到开发板:1、接入仿真器,打开开发板电源2、查看引脚定义并配置引脚:引脚定义:打开引脚配置界面:示例:3、仿真:4、验证...原创 2021-10-14 18:47:13 · 854 阅读 · 1 评论 -
《VHDL数字系统设计与应用》在校课程记录——实验一:EDA软件熟悉与使用
一、新建工程:1、点击新建工程:2、点击 Next:3、选择工程存放路径,填写工程名字,点击 Next:4、选择导入已有工程,不需要则直接 Next:5、选择芯片型号,此处为Cyclone Ⅲ系列EP3C25F324C8芯片,点击 Next:6、选择 EDA 工具,此处使用内部的工具即可,全部选择 None,点击 Next:7、对照信息没问题后,点击 Finish:二、新建文件:1、新建原理图文件:原理图为.bdf文件2、保存原理图文件:选择路径后,输入文件名,点击保原创 2021-10-13 13:20:51 · 643 阅读 · 0 评论