《VHDL数字系统设计与应用》在校课程记录——实验四:组合逻辑3-8译码器的设计实验

一、概述:

在这里插入图片描述

二、VHDL 描述:

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY decoder_38 IS
	PORT(
		i	:	IN STD_LOGIC_VECTOR(2 DOWNTO 0);
		en	:	IN STD_LOGIC;
		y	:	OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
		);
END decoder_38;

ARCHITECTURE one OF decoder_38 IS    
BEGIN
	PROCESS(en,i)
BEGIN
	IF en='1' THEN y<="00000000";
	  ELSE
		CASE i IS
		  WHEN "000" =>y<="11111110";
		  WHEN "001" =>y<="11111101";
		  WHEN "010" =>y<="11111011";
		  WHEN "011" =>y<="11110111";
		  WHEN "100" =>y<="11101111";
		  WHEN "101" =>y<="11011111";
		  WHEN "110" =>y<="10111111";
		  WHEN "111" =>y<="01111111";
		  WHEN OTHERS=>NULL;                    
		END CASE;
	  END IF;
	END PROCESS;
END;

三、仿真波形:

1、列表配置:

① 解开组:
在这里插入图片描述
② 合成组(以十六进制数据格式):
在这里插入图片描述
把输入 i 和输出 y 都组合为十六进制格式的数据

③ 快速配置顺序数:
在这里插入图片描述

2、仿真波形:

在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

~莘莘

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值