状态机的应用之序列检测器的实现与仿真

本文介绍了一个用于FPGA的序列检测器设计,具体实现了一个检测'10010'序列的设备。设计采用Moore状态机,包含8个状态,当检测到目标序列时,输出out置为1。提供了Verilog代码实现和测试激励,通过仿真验证了其正确性。
摘要由CSDN通过智能技术生成

        序列检测器的作用就是将一个指定的序列从一个数字码流中提取出来,本例将设计一个' 10010 ’序列检测器,data为输入的数字码流,data_in 为每过一个时钟所提取到的data中的一个值。本设计用到了一个简单的 Moore状态机,八个状态分为空闲 Idle 和k1~k7,为了方便用手绘了该状态机的状态转换图,还算比较清楚吧(- ,-) , 其中输入A对

应程序中的data_in ,当状态state为k5时,认为检测到10010序列,此时out为1。

 

 

 

 

 

 

 

CODE:

module seq_detector(data_in,data,out,clk,rst,state
    );
input clk,rst,data_in;          //检测10010序列
input [20:0]data;
output wire o

  • 4
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值