自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(26)
  • 收藏
  • 关注

原创 【FPGA】Visual Studio Code 使用Verilog语言用户代码片段分享

verilog

2022-12-04 21:11:55 922 1

原创 【FPGA】双线性差值实现图像缩放,使用modelsim和matlab仿真验真

双线性插值,又称为双线性内插。在数学上,双线性插值是有两个变量的插值函数的线性插值扩展,其核心思想是在两个方向分别进行一次线性插值。双线性插值作为数值分析中的一种插值算法,广泛应用在信号处理,数字图像和视频处理等方面。当对相邻四个像素点采用双线性插值时,所得表面在邻域处是吻合的,但斜率不吻合。并且双线性灰度插值的平滑作用可能使得图像的细节产生退化,这种现象在进行图像放大时尤其明显。

2022-11-10 22:52:43 2145 2

原创 【FPGA】 基于FPGA分频,倍频设计实现

verlog yyds

2022-06-26 00:33:45 2541 1

原创 【FPGA】基于bt1120时序设计实现棋盘格横纵向灰阶图数据输出

bt1120

2022-06-23 23:54:32 2926

原创 【FPGA】UART串口_V1.1

这串口也就这样吧,也没什么太多的东西,能用挺灵活的

2022-06-23 23:16:58 205

原创 【LabVIEW】基于LabVIEW的贪吃蛇小游戏

基于LabVIEW的贪吃蛇小游戏一、程序初始化一、游戏界面的初始化二、贪吃蛇的位置信息初始化三、food的位置信息初始化二、确认贪吃蛇的位置信息三、贪吃蛇的移动和是否吃到food四、贪吃蛇的按键操作一、上二、下三、左四、右五、再次获得贪吃蛇的位置信息六、贪吃蛇吃点food后产生随机dood七、游戏失败一、撞墙判定一、头触碰身体判断七、游戏难度控制八、感觉九、VI分享这个贪吃蛇小游戏是在b站上看到的,逻辑挺简单的,加上自己的思路和优化了下改了些小BUG,总体玩起来还可以。这个贪吃蛇小游戏程序主要有程序的初

2022-05-29 23:07:01 6976 3

原创 个人链接ha

个人链接一、工程1.FPGA——uart2.FPGA——spi_flash3.FPGA——I2C_eeprom二、安装包一、工程1.FPGA——uart链接:https://pan.baidu.com/s/1FJfIpfr48HzJC3DxMsKkyA?pwd=uobs提取码:uobs复制这段内容后打开百度网盘手机App,操作更方便哦2.FPGA——spi_flash链接:https://pan.baidu.com/s/1LIiWuUCRUWmYE0cF-NZPjg提取码:i9kn复制这段

2022-05-19 20:28:37 1819 1

原创 【C语言】条件判断

C语言 条件判断一、数值数据类型1、算术比较2、基本的if语句3、扩展if语句:if-else4、嵌套的if语句5、运算符的优先级二、switch语句三、goto语句一、数值数据类型在程序中做出判断,就是选择执行一组程序语句,而不执行另一种组程序语句。在现实生活中我们重要判断如:如果外面下雨,就打雨伞出门,如果没有下雨就不打雨伞出门1、算术比较C中的比较涉及一些新运算符。比较两个值有6个关系运算符,如下关系运算符运算符比较<左操作数小于右操作数<=左操

2022-03-30 01:44:36 1164

原创 【C语言】一、编程初步了解

一、C语言编程初步了解一、计算机内存二、什么是变量三、存储整数的变量1、变量的使用2、变量的初始化四、变量类型1、有符号整数类型2、无符号整数类型3、指定整数常量五、使用浮点数六、浮点数变量七、类型强制转换一、计算机内存计算机执行程序时,组成的指令和程序所操作的数据都必须储存在某个地方,这个地方就是机器的内存。RAM:随机访问储存器,是易失性存储器,断电会数据丢失。计算机内存单位通常是千字节(KB)、兆字节(MB)、千兆字节(GB)、兆兆字节(TB)关系如下:1TB=1024GB1GB=1024

2022-03-26 00:45:39 998

原创 【LabVIEW】基于LabVIEW的俄罗斯方块小游戏

基于LabVIEW的俄罗斯方块小游戏一、程序子VI1、产生随机方块2、旋转3、删除二、全部程序1、初始化2、无操作3、按键操作(1)up(2)Down(3)left(4)right4、按键释放5、前面板效果工程链接这个俄罗斯方块是假期无聊做的,里面有个bug就是会在while里面出来不到一直加速无法操作,第一个方块出现直接Down游戏正常运行,俄罗斯方块的基本功能是都是实现了的一、程序子VI1、产生随机方块2、旋转3、删除二、全部程序1、初始化2、无操作3、按键操作(1

2022-03-18 12:24:22 9111 1

原创 【C语言】初识C语言

初识C语言一、什么是C语言二、创建C程序1、编辑2、编译3、链接4、执行二、编写第一个C程序一、什么是C语言C语言是一种功能强大、简洁的计算机语言,通过它可以编写程序,指挥计算机完成指定的任务。我们可以利用C语言创建程序(即一组指令),并让计算机依指令行事。二、创建C程序C程序的创建过程有4个基本步骤或过程即:编辑、编译、链接、执行1、编辑编辑过程就是创建和修改C程序的源代码——我们编写的程序指令称为源代码。编辑器提供了编写、管理、开发、与测试程序的环境,有时也称为集成开发环境。2、编译

2022-03-17 17:02:24 881

原创 【FPGA】VGA接口实现LCD屏AN430彩条显示

基于FPGA的VGA接口实现LCD屏AN430彩条显示一、VGA、AN430简介1.VGA2.AN430二、代码1.param.v2.lcd_test3.lcd_driver三、结果展示验证一、VGA、AN430简介1.VGAVGA(Video Graphics Array) 是 IBM 在 1987 年随 PS/2 机一起推出的一种视频传输 标准,当时具有分辨率高、显示速率快、颜色丰富等优点,在彩色显示器领域得到了广泛的 应用。VGA 接口就是显卡上输出模拟信号的接口,也叫 D-Sub 接口。VG

2022-03-03 13:36:56 1830 4

原创 【FPGA】基于ds18b20单总线温度器设计

基于ds18b20单总线温度器设计一、系统框图 状态转换图二、代码三、验证一、系统框图 状态转换图二、代码太久之前写的不想改了直接白嫖的代码下面展示一些 内联代码片。ds18b20_drivermodule ds18b20_driver( input clk , input rst_n , input dq_in , output

2022-02-28 19:56:13 628

原创 【FPGA】SPI协议详解及对flash读写操作

FPGA基于SPI实现对flash读写操作概括一、SPI协议、flash讲解1、SPI协议2、flash(1)WREN(2)RDID(3)WRSR(4)READ(5)PP(6)SE二、状态图三、代码1、代码分析2、全部代码(1)param(2)test(3)spi_master(4)wr_control(5)flash_write(6)flash_write(7)key_filter_fsm(8)uart四、验证概括内容用FPGA芯片Cylone IV E:EP4CE6F17C8 实现对flash读写操

2022-02-26 13:50:56 10201 9

原创 【FPGA】i2c协议详解及eeprom的读写uart串口通信验证

FPGA基于i2c的eeprom的读写uart串口通信验证概述一、代码二、验证概述IIC简介IIC (I2C,Inter-Integrated Circuit)即集成电路总线,是一种两线式串行总线,由 PHILIPS公司开发,用于连接微控制器及其外围设备。多用于主机和从机在数据量不大且传输距离短的场合下的主从通信。I2C 总线由数据线 SDA 和时钟线 SCL 构成通信线路,既可用于发送数据,也可接收数据,是一种半双工通信协议。总线上的主设备与从设备之间以字节(8 位)为单位进行双向的数据传输标准模

2022-02-18 17:06:22 2218 2

原创 python邮件收发

添加库pip install PyEmail操作步骤1、设置登录信息、发送内容等2、开启smtp服务,连接服务器3、登录邮箱4、发送或者接收邮件5、关闭服务from email import headerimport smtplibfrom email.mime.text import MIMETextfrom email.header import Headerimport time#发邮方信息 邮箱 授权码from_addr ="@qq.com"pass

2022-02-14 21:46:29 452

原创 【LabVIEW】LabVIEW练习

产生100个1-99随机整数数,求其和以及平均值用for循环产生4行100列的二维数组,数组成员如下:1,2,3…100;100,99,98…1;6,7,8…105;105,104,103…6;从这个数组中提取2行50列的二维数组,数组成员如下:50,49,48…1;56,57,58 (105)将这2个数组用数组显示件显示在前面板.编写一个程序测试自己在程序前面板上输入字符所用的时间编写一个程序,在前面板上放3个按钮,当按下某个按钮时,输出按钮的编号编写计算以下等式的程序:

2022-02-14 12:46:26 4833

原创 【FPGA】UART串口通信

这里写目录标题一、UART基础知识二、代码二级目录三级目录一、UART基础知识UART 是一种采用异步串行通信方式的通用异步收发传输器UART串口通信需要两根信号线来实现,一根用于串口发送,另外一根负责串口接收传输速率:串口通信的速率用波特率表示,常用的波特率有9600、19200、38400、57600以及115200等。二、代码下面展示一些 内联代码片。二级目录三级目录...

2022-02-11 20:22:47 1243

原创 【Python】小程序练习

Python小程序练习1.编写任意范围的求和函数,输入两个值,输出两个值之间数字的所有和def sss(x,y): sum = 0 for i in range(x+1,y): sum = sum+i return sumx = int(input("请输入x的值"))y = int(input("请输入y的值"))s = sss(x,y)print(s)print(sss(x,y))2.编写一个函数,输入三个数,作为三角形的三个边长,计算三角形的

2022-02-11 15:23:21 1240

原创 【Soc FPGA】Soc之PWM实现呼吸灯

这里写目录标题一、生成PWM_IP核一、PWM_IP核代码二、Platform Designer生成自己的IP核三、添加外设四、修改黄金工程&编译二、生成dtb、hps_0.h、rbf文件三、编写代码四、环境配置五、设置设备地址六、执行一、生成PWM_IP核实现socPWM呼吸灯需要自己生成一个PWM的IP核添加外设一、PWM_IP核代码PWM_IP核需要产生一个PWM波,PWM核代码如下:// An highlighted blockmodule pwm_logic( inp

2022-02-11 12:52:46 2029

原创 【FPGA】序列检测101

一、功能介绍该项目由state_dete、seg、seg_j、key_filter、top五个模块组成复位按键:复位按键1:模拟序列1输入按键2:模拟序列0输入LED:检测到数值101翻转数码管:显示输入值和101出现次数(十六进制显示)二、时序图和状态转换图时序图状态转换图三、程序设计1.顶层模块// A code blockvar foo = 'bar';// An highlighted block//模块顶层module top( input c

2021-12-10 14:03:13 1574 1

原创 【FPGA】按键消抖

一、简介按键消抖通常的按键所用开关为机械弹性开关,当机械触点断开、闭合时,由于机械触点 的弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时也不会一下子断开。因而在闭合及断开的瞬间均伴随有一连串的抖动,为了不产生这种现象而作的措施就是按键消抖。按键消抖就是在按键按下抖动最后一个下降沿延时20ms。二、时序图和状态图这里按键消抖可以用计数器做和状态机做时序图我只画了一个按键消抖时序图状态机状态转换图三、程序计数器消抖module key_filter #(parameter K

2021-12-10 10:28:46 1441

原创 【FPGA】PWM_LED呼吸灯

PWM呼吸灯调制PWM波占空比来实现LED从暗到亮,从亮到暗// A code blockvar foo = 'bar';// An highlighted blockmodule pwm_led ( input clk , input rst_n , input key_down, output reg [3:0] led ); parameter

2021-12-07 22:33:02 432

原创 【FPGA】流水灯和跑马灯

跑马灯和流水灯差不多也简单我就把它俩放到一起的.延时都是20ms一、系统框图二、时序图三、程序1、流水灯// A code blockvar foo = 'bar';// An highlighted blockmodule follow_led( input clk , input rst_n , output reg [3:0] led ); //参数定义 parameter DELA

2021-12-07 20:17:21 1364

原创 【FPGA】状态机_闹钟

一、功能硬件说明二、状态图三、时序图四、代码五、图片展示一、功能硬件说明1、芯片:EP4CE6F17C82、复位按键:复位3、按键 1:退出时间设置模式或闹钟设置模式4、按键 2:进入时间设置模式,在时间设置模式和闹钟设置模式进 行位选操作5、按键 3:进入闹钟设置模式,在时间设置模式和闹钟设置模式进 行时间设置操作 6、数码管:时间设置模式显示时间设置的值,闹钟设置模式显示闹 钟预设值,默认模式显示时间7、LED 灯:时间等于闹钟预设值 LED 亮,否则不亮8、BEEP:蜂鸣器

2021-12-07 19:29:00 1146

原创 【FPGA】工程项目创建

目录一、创建工程文件夹二、Quartus创建工程三、扩展一、创建工程文件夹1.doc用于放置设计说明、系统框图、设计思路等文件2.ip用于放置ip核文件3.prj/par用于工程创建的路径4.rtl/src用于存放源文件,也就是放自己写的Verilog程序5.sim/tb用于存放仿真文件二、Quartus创建工程1.我用的Quartus18.1版本如下图点击1.File→2.New Project Wizard2.在此窗口1选择工程文件路径→2命名工程名→3工程的顶层名→4Next

2021-11-29 20:19:21 1645

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除