System Verilog学习笔记(十七)——随机约束(2)

System Verilog学习笔记(十七)——随机约束(2)

约束块(constraint)

  • 约束块支持整型通过set操作符来设置它们的取值范围
  • 除了成员集合设置外,也可以设置随机时的权重
  • :=操作符,表示每个值的权重是相同的
  • :/操作符,表示权重会平均分配到每一个值
x dist {[100:102]}:=1,200:=2,300:=5  //表示x在100,101,102,200和300的权重是1-1-125
x dist {[100:102]}:/1,200:=2,300:=5  //表示x在100,101,102,200和300的权重是1/3-1/3-1/3-2-5
  • unique可以用来约束一组变量,使得其在随机后变量之间不会有相同的数值
constraint u {unique {b,a[2:3],excluded};}  //表示a[2],a[3],b和excluded在随机化之后将包含不相同的数值
  • 可以用if-else或者->操作符来表示条件约束
mode ==little ->len<10;
mode ==big->len>100;
  • foreach可以用来迭代约束数组中的元素,这些数组可以是长数组、动态数组、关联数组或者队列
class C;
	rand byte A[];
	constraint c1 {
	foreach (A[i]) A[i] inside {4,6,8,9}} //表示A[i]的值是从4,6,8,9里选
endclass
  • 在约束块中可以调用函数来描述约束

  • 软约束:用来指定变量的默认值和权重。如果用户在使用时,指定了外部约束对同一个变量做二次约束,或者用户定义了子类,也对同一个变量做二次约束时,那么硬约束可以“裹着”软约束,并不会导致随机数产生的失败。

class packet;
	rand int length;
	constraint deflt {soft length inside {32,1024};}  //使用soft约束时硬约束可以生效
endclass
packet p=new();
p.randomize () with {length ==1512;}
  • 内嵌约束对于同名的变量处在不同的域中,可能会出现指向模糊的情况,可以通过local::的域索引方式来明确随机变量的指向,即local::指向的变量会在包含randomize()方法的对象中。
class C;
	rand integer x;
endclass
function int F(C obj, integer x);
	F=obj.randomize() with {x<local::x;};   //x指向的是class类里的integer x
endfunction

随机控制

  • rand_mode可以用来使能或者禁止随机变量
  • 当随机数被禁止时,他会同为声明为随机变量的普通变量一样,不会参与到随机化过程中
  • 一些约束块或者某个类的约束块集合都可以单个控制或者集体控制
  • 可以通过约束控制函数来使能或者关闭某些约束块
  • 9
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值