【SVA学习】02. 并发断言和即时断言

SVA中定义了两种断言,即并发断言和即时断言。

并发断言:

  1. 基于时钟周期。并发断言根据时钟的上升沿/下降沿进行的。
  2. 采样和计算不是在同一个时钟周期,采样在预备阶段,计算在观察阶段。意味着,前一个上升沿/下降沿采样,下一个上升沿/下降沿才会进行计算并断言,延迟一个时钟周期。
  3. 可以放到过程块,模块,接口或者程序(program)中;
  4. 可以在静态验证(形式的)和动态验证(模拟)工具中使用
assert property ((@ posedge clk)not a && b)

即时断言:

  1. 和时序无关,即不会用到时钟;
  2. 立即被求值;
  3. 必须放到过程块中,和其他过程块类似,只是多了assert关键词;
  4. 只能用于动态模拟;
always
    begin
	    fish_ia: assert (a && b);
    end

当信号a或者b发生变化时,always块被触发,断言执行。

区分原则:

并发断言用property,且和时钟有关, 即时断言用always,和时钟无关。

  • 1
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值