SVA:并发断言的$rose, $fell, $past

Examples

property check
	(@ posedge clk) $rose(a) -> ##1 b[*3] ##1  c
endproperty 
checker : assert property(check)

在这里插入图片描述
在上式中,需要关注的两点:

  1. 并发断言的采样时钟边沿的跳变,是否和时序逻辑采样值一样?
  2. $rose和posedge是否一样?

并发断言的采样

并发断言是根据每个时钟边沿的采样值,进行逻辑判断后,确认断言的成功和失败。
和正常的时序逻辑采样相同,在时钟沿跳变的变量,在当前时钟沿采的都是变化之前的值。

例如: 上例中在T2 的时候,a的采样值为0 而不是1.

$rose 和 posedge的区别

$rose和posedge都是用来捕捉边沿,但定义有所不同:

posedge

Define : 数值由0->1的时刻

例如 : 上例中T2就是a的一个posedge

  • 7
    点赞
  • 35
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值