FPGA_电机控制(Verilog)

本文介绍了如何使用VerilogHDL语言在FPGA上实现步进电机的控制,包括步进电机的基本原理、类型和控制方法。实验采用四相步进电机,通过四路I/O并行控制,利用FPGA直接产生多相脉冲信号,实现了四相单、双八拍的控制。控制频率在200Hz附近,确保电机正常运转。同时,文章还解释了步进电机启动频率限制的原因。
摘要由CSDN通过智能技术生成

FPGA_电机控制(Verilog)

Verilog HDL 之 步进电机驱动控制

步进电机的用途还是非常广泛的,目前打印机,绘图仪,机器人等等设备都以步进电机为动力核心。那么,下面我们就了解下什么是步进电机,它是怎么控制的。

一、步进电机相关知识简介

1、步进电机概述

步进电机是一种能够将电脉冲信号转换成角位移或线位移的机电元件它实际上是一种单相或多相同步电动机。单相步进电动机有单路电脉冲驱动,输出功率一般很小,其用途为微小功率驱动。多相步进电动机有多相方波脉冲驱动,用途很广。使用多相步进电动机时,单路电脉冲信号可先通过脉冲分配器转换为多相脉冲信号,在经功率放大后分别送入步进电动机各相绕组。每输入一个脉冲到脉冲分配器,电动机各相的通电状态就发生变化,转子会转过一定的角度(称为步距角)。正常情况下,步进电机转过的总角度和输入的脉冲数成正比;连续输入一定频率的脉冲时,电动机的转速与输入脉冲的频率保持严格的对应关系,不受电压波动和负载变化的影响。由于步进电动机能直接接收数字量的输入,所以特别适合于微机控制。

2、步进电机的种类

目前常用的步进电机有三类:

(1)反应式步进电动机(VR):它的结构简单,生产成本低,步距角可以做的相当小,但动态性能相对较差。

(2)永磁式步进电动机(PM):它的出力大,动态性能好;但步距角一般比较大。

(3)混合步进电动机(HB):它综合了反应式和永磁式两者的优点,步距角小,出力大,动态性能好,是性能较好的一类步进电动机。

如果还想做更深入的了解,自行查找相关资料。

3、步进电机控制的实现

我们实验中所使用的步进电机为四相步进电机。转子小齿数为64。系统中采用四路I/O进行并行控制,FPGA直接发出多相脉冲信号,在通过功率放大后,进入步进电机的各相绕组。这样就不再需要脉冲分配器。脉冲分配器的功能可以由纯软件的方法实现。
  在这里插入图片描述
其中:m为相数,控制方法是四相单四拍和四相双四拍时C为1,控制方法是四相单、双八拍时C为2,Zk为转子小齿数。本系统中采用的是四相单、双八拍控制方法,所以步距角为360°/512。但步进电机经过一个1/8的减速器引出,实际的步距角应为360°/512/8。

试验中使用EXI/O的高四位控制四相步进电机的四个相。按照四相单、双八拍控制方法,电机正转时的控制顺序为A→AB→B→BC→C→CD→D→DA。EXI/O的高四位的值参见表1.1。
  在这里插入图片描述
反转时,只要将控制信号按相反的顺序给出即可。

步进电机的频率不能太快,也不能太慢。在200Hz附近最好。频率太快是转动不起来的。

注:为什么步进电机高于一定速度就无法启动:

步进电机有一个技术参数:空载启动频率,即步进电机在空载情况下能够正常启动的脉冲频率,如果脉冲频率高于该值,电机不能正常启动,可能发生丢步或堵转。在有负载的情况下,启动频率应更低。如果要使电机达到高速转动,脉冲频率应该有加速过程,即启动频率较低,然后按一定加速度升到所希望的高频(电机转速从低速升到高速)

1、在设计文件中输入Verilog代码。
在这里插入图片描述
在这里插入图片描述
注:

(1)第19行,此处的数值为200000,因为实验中所使用的晶振时钟频率是50MHz,这样我们为步进电机提供了250Hz的频率,使之能正常工作。

(2)第43、44行是选择正转还是反转。

(3)第45行~第54行:就是根据表1.1而来的,配置步进电机的IO口值。

2、由设计文件生成的.bsf文件,其外接接口如图1.2所示。

在这里插入图片描述
3、引脚分配

clk接时钟;Dir接按键,控制正转还是反转;StepEnable接按键,表示开关;rst接复位信号;StepDrive接步进电机的4个引脚。

4、实验结果
在这里插入图片描述

步进电机是一种常用的电机类型,它可以通过控制电流方向和大小来控制电机的运动。FPGA是一种可编程逻辑器件,可以实现各种数字电路的设计和控制。因此,基于FPGA实现步进电机控制是一种常见的应用。 Verilog HDL是一种硬件描述语言,可以用于设计数字电路。下面是一个基于Verilog HDL实现步进电机控制的示例设计。 首先,我们需要定义输入和输出端口。输入端口包括时钟信号和控制信号,输出端口包括步进电机的控制信号。 ``` module stepper( input clk, // 时钟信号 input [1:0] ctrl, // 控制信号 output reg [3:0] out // 步进电机控制信号 ); ``` 接下来,我们需要实现一个状态机来控制步进电机的运动。状态机包括四个状态:停止状态、正转状态、反转状态和暂停状态。根据不同的控制信号,状态机在不同的状态之间切换,从而实现步进电机的控制。 ``` parameter STOP = 2'b00; // 停止状态 parameter CW = 2'b01; // 正转状态 parameter CCW = 2'b10; // 反转状态 parameter PAUSE = 2'b11; // 暂停状态 reg [1:0] state; // 状态寄存器 always@(posedge clk) begin case(state) STOP: begin if(ctrl == CW) begin out <= 4'b0001; // 控制信号为正转,输出0010 state <= CW; end else if(ctrl == CCW) begin out <= 4'b0100; // 控制信号为反转,输出0100 state <= CCW; end end CW: begin if(ctrl == STOP) begin out <= 4'b0000; // 控制信号为停止,输出0000 state <= STOP; end else if(ctrl == PAUSE) begin out <= 4'b0000; // 控制信号为暂停,输出0000 state <= PAUSE; end else begin out <= out << 1; // 控制信号为正转,输出左移一位 end end CCW: begin if(ctrl == STOP) begin out <= 4'b0000; // 控制信号为停止,输出0000 state <= STOP; end else if(ctrl == PAUSE) begin out <= 4'b0000; // 控制信号为暂停,输出0000 state <= PAUSE; end else begin out <= out >> 1; // 控制信号为反转,输出右移一位 end end PAUSE: begin if(ctrl == CW) begin out <= 4'b0001; // 控制信号为正转,输出0010 state <= CW; end else if(ctrl == CCW) begin out <= 4'b0100; // 控制信号为反转,输出0100 state <= CCW; end else if(ctrl == STOP) begin out <= 4'b0000; // 控制信号为停止,输出0000 state <= STOP; end end endcase end ``` 最后,我们需要实例化这个模块并连接到其他电路中。 ``` stepper s(.clk(clk), .ctrl(ctrl), .out(out)); ``` 这就是一个基于Verilog HDL实现步进电机控制的示例设计。
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值