基于FPGA的电机控制设计(PWM)

分享下做的设计。
本设计是通过PWM对电机进行控制,可以进行速度控制,正反转控制等。
本程序可以在vivado或者quartus II下使用。
本代码有verilog和vhdl两个版本。
同时在modelsim和vivado自带仿真器都仿真正确。

工程文件中包括测试文件,用来仿真,产生波形图。
下图为vivado中工程文件图:
在这里插入图片描述

下图为仿真波形图:

在这里插入图片描述
//pwm控制转速和正反转方向 分下面几个模块构成

顶层模块设计:

module PWM_CONTROL(
input rst,level,clk,z_f,
output reg z,f,
output level_display0,level_display1
);

仿真测试代码:

//tes

  • 13
    点赞
  • 69
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 17
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 17
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

芯作者

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值