一种用verilog生成任意位二进制转BCD码的方法

本文介绍了使用Verilog语言实现的一种将任意位二进制数转换为BCD码的方法,主要利用了左移加3的算法。通过详细描述算法过程和代码实现,展示了如何在硬件层面完成这种转换。
摘要由CSDN通过智能技术生成
//
//filename: bin2bcd.v
//author: lyq
//Date: 2016.3.12 11:36
//
// 二进制转BCD算法(左移加3)
//      ┌───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┐
//   00-│b16│b15│b14│b13│b12│b11│b10│b9 │b8 │b7 │b6 │b5 │b4 │b3 │b2 │b1 │b0 │a12│a11│a10│a9 │a8 │a7 │a6 │a5 │a4 │a3 │a2 │a1 │a0 │
//      └───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┘
//      ┌───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┐
//   d1-│   │   │   │   │   │   │   │   │   │   │   │   │   │ 0 │a12│a11│a10│   │   │   │   │   │   │   │   │   │   │   │   │   │
//      └───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┘
//                                                           └─────d00─────┘                    
//      ┌───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┐
//   c1-│   │   │   │   │   │   │   │   │   │   │   │   │   │c00│c00│c00│c00│   │   │   │   │   │   │   │   │   │   │   │   │   │
//      └───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┘
//                                                           └──c00=d00+3──┘                    
//      ┌───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┬───┐
//   d2-│   │   │   │   │   │   │   │   │   │   │   │   │c00│c00│c00│c00│a9 │   │   │   │   │   │   │   │   │   │   │   │   │   │
//      └───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┴───┘
//                                                           └
  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值