FPGA-04 触摸按键控制LED灯

(1)实验任务

使用触摸按键控制LED灯亮灭,开发板上电后LED为点亮状态,手指触摸后LED熄灭,再次触摸,LED点亮

(2)硬件设计

引脚分配

当OP2拉低时,触摸IC工作在同步模式(类似于非自锁的轻触按键),即触摸时输出有效电平,松开后无有效电平输出;OP2拉高时触摸IC工作在保持模式(类似于自锁按键),即检测到触摸操作后输出有效电平,松开后,输出电平保持不变。当再次检到触摸操作时,输出电平变化并继续保持。

(3)程序设计

信号连接图

代码设计:

module touch_led(
	input sys_clk,
	input sys_rst_n,
	input touch_key,
	
	output reg led
);

reg touch_key_d0;
reg touch_key_d1;

wire touch_en;
//根据按键信号的上升沿判断按下了按键
assign touch_en = (~touch_key_d1)&touch_key_d0;
//对触摸按键端口接收的数据延迟两个周期
always @(posedge sys_clk or negedge sys_rst_n)begin
	if(!sys_rst_n)begin
		touch_key_d0 <= 1'b0;
		touch_key_d1 <= 1'b0;
	end
	else begin
		touch_key_d0 <= touch_key;
		touch_key_d1 <= touch_key_d0;
	end
end
//根据上升沿使能信号切换led状态
always @(posedge sys_clk or negedge sys_rst_n)begin
	if(!sys_rst_n)
		led <= 1'b0;
	else if(touch_en)
		led <= ~led;
end
endmodule

最为关键的就是上升沿的检测:

//根据按键信号的上升沿判断按下了按键
assign touch_en = (~touch_key_d1)&touch_key_d0;
//对触摸按键端口接收的数据延迟两个周期
always @(posedge sys_clk or negedge sys_rst_n)begin
	if(!sys_rst_n)begin
		touch_key_d0 <= 1'b0;
		touch_key_d1 <= 1'b0;
	end
	else begin
		touch_key_d0 <= touch_key;
		touch_key_d1 <= touch_key_d0;
	end
end

(4)下载验证

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值