数电
有术无道
这个作者很懒,什么都没留下…
展开
-
VHDL D触发器 4位移位寄存器 例化+仿真(功能时序)
二、操作步骤1.设计一个D触发器library ieee;use ieee.std_logic_1164.all;entity dff1 is port( clk,d:in std_logic; q:out std_logic);end dff1;architecture abc of dff1 isbegin process(clk,d) begin if clk'event and clk='1' then q<=d; end if; ..原创 2021-05-10 19:37:22 · 8089 阅读 · 1 评论 -
VHDL多路选择器+仿真(简单版)
VHDL多路选择器题目如下多路选择器的顶层设计电路如图1所示。根据真值表,如果输入sel=”01”或者sel=”10”,那么输出将等于对应的某一个输入(c=a或c=b)。然而如果输入sel=”00”或者sel=”11”,那么输出将分别为’0’和”Z”(高阻态)。LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY mux IS PORT(a,b: IN std_logic_vector(7 downto 0); sel: IN std_lo原创 2021-05-08 10:29:01 · 1747 阅读 · 2 评论