VHDL D触发器 4位移位寄存器 例化+仿真(功能时序)

3 篇文章 0 订阅
2 篇文章 0 订阅

在这里插入图片描述

在这里插入图片描述

二、操作步骤

1.设计一个D触发器


library ieee;
use ieee.std_logic_1164.all;

entity dff1 is
  port(
    clk,d:in std_logic;
	 q:out std_logic);
end dff1;

architecture abc of dff1 is
begin
	process(clk,d)    
	begin
	if clk'event and clk='1' then
		q<=d;
	end if;
  end process;
end abc;

2.组成4位移位寄存器

library ieee;
use ieee.std_logic_1164.all;

entity D4 is
    port (din,clk : in std_logic;
	       dout : out std_logic;
			 m:out std_logic_vector(3 downto 0));
end D4;

architecture dff4  of D4 is
  component dff1 is
  port(d,clk:in std_logic;
           q:out std_logic);
end component;
signal z:std_logic_vector(4 downto 0);
begin
  U0:dff1 port map(din,clk,z(1));
  m(3)<=z(1);
  U1:dff1 port map(z(1),clk,z(2));
  m(2)<=z(2);
  U2:dff1 port map(z(2),clk,z(3));
  m(1)<=z(3);
  U3:dff1 port map(z(3),clk,z(4));
  dout<=z(4);
  m(0)<=z(4);
end dff4;


3.功能仿真

在这里插入图片描述

4.时序仿真

在这里插入图片描述

  • 1
    点赞
  • 43
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值