【计算机组成原理】使用VHDL语言设计一个二输入异或门

设计一个二输入异或门

要求如下:

  • 编写VHDL代码

步骤如下:

  1. 新建VHDL文件。打开Quartus,点击左上角的NEW,新建一个VHDL文件。在这里插入图片描述在这里插入图片描述

  2. 输入代码。在新建的VHDL文件中输入下列代码:

-- 二输入异或门

library ieee;
use ieee.std_logic_1164.all;
entity xor2_v1 is
	port(a, b: in STD_logic;
			f: out std_logic);
end xor2_v1;

architecture behave of xor2_v1 is
begin
	f <= a XOR b;
end behave;
  1. 保存并命名。点击运行框,会提示要保存到本地该文件。注意,文件的名称应该与实体类一样,在这里应该为xor2_v1,具体看上面代码。在这里插入图片描述
  2. 运行,查看结果。再点击运行按钮,如果这时候出现了如下界面,那么代表运行成功,并没有报错,如果报错了那么就修改。
    在这里插入图片描述
    另外,如果箭头指的地方不是xor2_v1,那么这时候就需要将xor2_v1设置为顶层实体了。右键xor2_v1文件,选择set
    as top-leval entity,再运行该文件。
    在这里插入图片描述
  • 3
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值