(萌新的数电学习)用 VHDL语言设计一个异或门

实验背景:异或门是一种用途广泛的门电路。典型应用是作为加法器的单元电路。

VHDL代码
第一种写法:

Library IEEE;--库声明
USE IEEE.std_logic_1164.all;

ENTITY yihuomen IS--实体
 PORT(a,b:IN std_logic;
      y:OUT std_logic);
END yihuomen;

ARCHITECTURE one of yihuomen is--结构体
 BEGIN y<=(a and not b)or(not a and b);
END ARCHITECTURE one;

RTL视图:
在这里插入图片描述

第二种写法:

Library IEEE;--库声明
USE IEEE.std_logic_1164.all;

ENTITY yihuomen IS--实体
 PORT(a,b:IN std_logic;
      y:OUT std_logic);
END yihuomen;

ARCHITECTURE one of yihuomen is--结构体
 BEGIN y<=a xor b;
END ARCHITECTURE one;

RTL视图:
在这里插入图片描述

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值