小梅哥Xilinx FPGA学习笔记8——从计数器到可控线性序列机

目录

一、功能介绍

二、任务1的代码编写

1.设计文件

2.激励文件

3.仿真图

三、任务2的代码编写

实现思路一:

1.设计文件

2.激励文件

3.仿真图

实现思路二:

1.设计文件

2.激励文件

3.仿真图

四、任务3的代码编写

1.设计文件

2.激励文件

3.仿真图

五、任务4的代码编写

1.设计文件

2.激励文件

3.仿真图​编辑

六、总结


一、功能介绍

任务1:让LED灯按照亮0.25秒,灭0.75秒的状态循环亮灭

任务2:让LED灯按照亮0.25秒,灭0.5秒,亮0.75秒,灭1秒的状态循环亮灭

任务3:以0.25为基本的LED状态变化间隔(最小时间单元)以8小段·为一个循环周期(参考任务二10个小段);LED在每一小段该点亮还是该熄灭由8个输入端口决定。

任务4:在任务三的基础上,实现每隔一定时间,比如1秒钟,执行一轮LED8个状态的切换控制。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值