627 在使用makefile脚本编译时,编译和仿真混合导致参数插入失败。

背景:vcs 小白在完成makefile脚本编写后,使用指令make comp 编译后,直接运行了代码。

导致在正经指定测试用例运行,设置运行参数设置不正确。make simulate指令作废。

原因:在comp阶段使用了 -R   导致设置参数后直接运行。

改正:删除 -R ,剩余脚本只完成编译。

TEST = spi_reg_test
VERBO = UVM_LOW
OUTPUT_DIR = ./logs
TB_TEST_ID+= ${TEST}
TEST_LOG = ${OUTPUT_DIR}/${TB_TEST_ID}
VPD = +vpdfile+$(TEST_LOG)/${TB_TEST_ID}.vpd
SEED =$(shell date + %s)
COV_OPTS = -cm line+fsm+tgl+cond+branch
UVM_DBG = +UVM_CONFIG_TRACE

VCS = vcs -full64 -cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,-no-as-needed \
 		-f filelist.f \
		+incdir+$(AGENTS)/apb_agent $(AGENTS)/apb_agent/apb_agent_pkg.sv\
		+incdir+$(AGENTS)/spi_agent $(AGENTS)/spi_agent/spi_agent_pkg.sv\
		+incdir+../uvm_register_model ../uvm_register_model/spi_reg_pkg.sv\
		$(AGENTS)/apb_agent/apb_if.sv \
		$(AGENTS)/spi_agent/spi_if.sv \
		../tb/intr_if.sv \
		+incdir+../env ../env/spi_env_pkg.sv\
		+incdir+../sequences ../sequences/spi_bus_sequence_lib_pkg.sv\
		+incdir+../sequences ../sequences/spi_sequence_lib_pkg.sv\
		+incdir+../sequences ../sequences/spi_virtual_seq_lib_pkg.sv\
		+incdir+../test ../test/spi_test_lib_pkg.sv\
		 +incdir+$(RTL)/spi/rtl/verilog ../tb/top_tb.sv\
		${COV_OPTS}\
		-timescale=1ns/1ns \
		-full64 \
		-R \
		-debug_acc+all \
		+define+FSDB \
		-fsdb \
		-lca -kdb \
		-ntb_opts uvm-1.1 \
		-sverilog \
		+v2k
		
comp:
		${VCS}

simulate:
	./simv	+UVM_TESTNAME=${TEST} +UVM_VERBOSITY=${VERBO} \
			 ${COV_OPTS} ${VPD} ${UVM_DBG} \
			 +ntb_random_seed=$(SEED) \
				-cm_name ${TEST} \
				-l ${TEST_LOG}/${TEST}.log
	
				
vcs_wave:
		./simv -gui

vcs_cov:
		dve -full64 -cov -dir simv.vdb&
				
urg:
		urg -dir simv.vdb -dbname total_cov &
		firefox urgReport
verdi:
		
		verdi -ssf top_tb.fsdb &
verdi_cov:
		verdi -full64 -cov -cov_dir simv.vdb &
clean:

		rm -rf csrc verdiLog simv.daidir \
		novas.* \
		vc_hdrs.h \
		simv \
		*.key \
		*.fsdb \
		*.log \
		inter.vpd \
		DVEfiles \
		*.fsdb.* \
		ucli.key \
		total_cov.vdb \

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值