verilog中for循环与generate for格式

1、generate for的基本格式

  • generate-for语句:
  • 1、generate-for语句必须用genvar关键字定义for的索引变量;
  • 2、for的内容必须用begin end块包起来,哪怕只有一句;
  • 3、begin end块必须起个名字;

`timescale 1ns/1ns
module gen_for_module( 
    input [7:0] data_in,
    output [7:0] data_out
);

genvar i;
 
generate 
    for(i=0;i<8;i=i+1)
        begin: reverse
    assign data_out[i]=data_in[7-i];
        end
endgenerate



endmodule

2、for循环

  • for循环语句必须放在always语句块里面;
  • i的定义类型位integer
always@(*)
 begin
	for(i=0;i<8;i=i+1)
		data_out1[i] = data_in[7-i];
end

  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值