计算机组成原理 通用寄存器及其仿真

 通用寄存器端口信号说明如下:

  1. dout:8位,输出,数据输出
  2. din:8位,输入,需要保存的数据
  3. load:1位,输入,load=1时该部件工作,load=0时该部件不工作
  4. clk:1位,输入,时钟信号,进行时序控制
  5. rst:1位,输入,复位信号,rst=0时,系统复位;rst=1时,系统正常工作
module register(clk,rst,load,din,dout);
input clk,rst,load;
input [7:0] din;
output reg[7:0] dout;
always@(posedge clk or negedge rst)
begin
	if(rst==0)
		dout <= 0;
	else if(load)
		dout <= din;
end
endmodule

 将register.v设置为顶层模块
testbench文件

`timescale 10ns/1ns
module reg_tb();
reg clk;
reg [7:0] data_in;
reg rst;
reg load;
wire[7:0]data_out;
register mreg(.clk(clk),.rst(rst),.load(load),.din(data_in),.dout(data_out));
initial
begin
	clk=0;	rst=1;	load=0;	data_in=8'd23;
end
always	#50	clk = ~clk;	
initial
begin
#10	rst = 0;
#15	load = 1;
#10	rst = 1;
#20	load = 0;
#30	load = 1;
#15	data_in=8'd12;	
#25	load = 0;
#25 	rst = 0;data_in=8'd47;
#50	$finish;
end
endmodule

仿真波形图如下 

​​​​​​​

 

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Yvonnae

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值