线性寄存器的仿真图观察计数序列

本文通过Quartus II建立工程,输入Verilog代码来实现线性反馈寄存器(LFSR)。在ModelSim进行仿真后,观察到线性寄存器产生的计数序列。提供了仿真代码和详细步骤,帮助理解LFSR的工作原理。
摘要由CSDN通过智能技术生成

一.打开quartusII,建立一个工程(注意,此文件名字一定要与module的名称一样),并且建立一个verilog文件来装载代码。如图所示:
在这里插入图片描述
二.输入书上的verilog代码,保存并且运行,若是有错误就直接改正,没有错误就可以继续下一步,如下图:
程序运行
插入代码
三.与modulesim进行关联并且运行,如下图:
现在在添加文件在modulesim里面
点击小钟表旁边的快捷键
四.得到仿真图,然后就直接观察仿真图,如下图
仿真图
这就是使用组赛赋值之后仿真出来的线性反馈寄存器的仿

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值