dc综合学习

sdc

create_clock

在这里插入图片描述
在这里插入图片描述

时钟周期往下压前端要求的20%左右,后端做时序时才比较稳

set_clock_uncertainty

setup: clock_uncertainty=jitter+skew+margin
dc时比place更严格
hold:是同源检查,所以没有skew,clock_uncertainty=jitter+margin

sign off

在这里插入图片描述

io constraint

input delay实际上理论可计算,但按计算值给一半会导致端口约束偏松,一般给经验值:时钟周期的60%到70%

multicycle

待补充

  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值