VHDL——8位双向移位寄存器

1.逻辑元件符号

CLR:复位控制输入端
LOD:预置控制输入端
S:以为方向控制输入端:
s=1,,右移移位寄存器
s=0,左移移位寄存器

DIR:右移串入输入信号
DIL:左移串入输入信号

2.VHDL语言

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;   
ENTITY rlshift IS
PORT(clr,lod,clk,s,dir,dil:IN BIT;
	 d:IN BIT_VECTOR(7 DOWNTO 0);
	 q:BUFFER BIT_VECTOR(7 DOWNTO 0));
END rlshift;
ARCHITECTURE one OF rlshift IS
  SIGNAL q_temp:BIT_VECTOR(7 DOWNTO 0);
    BEGIN
      PROCESS(clr,clk,lod,s,dir,dil)
	    BEGIN	
		 IF clr='0' THEN q_temp <= "00000000";
ELSIF clk'EVENT AND clk='1' THEN
	IF (lod='1') THEN
		q_temp <= d;
	 ELSIF (S='1') THEN    
	 FOR i IN 7 downto 1 LOOP      --实现右移操作
    		q_temp(i-1) <= q(i);
	 END LOOP ;
		q_temp(7) <= dir;
	 ELSE 
	 FOR i IN 0 TO 6 LOOP         --实现左移操作
    		q_temp(i+1) <= q(i);
	 END LOOP ;
		q_temp(0) <= dil;
	 END IF;
     END IF;
		q <= q_temp;
  END PROCESS;
END one;


  • 4
    点赞
  • 50
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

364.99°

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值