「Verilog学习笔记」移位运算与乘法

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

 

分析 

1、在硬件中进行乘除法运算是比较消耗资源的一种方法,想要在不影响延迟并尽量减少资源消耗,必须从硬件的特点上进行设计。根据寄存器的原理,由于是二进制,所以进位和退位为x2或者/2,同样除7可以使用进位3然后减去本身的做法,这样就将乘除法运算转化为位运算,这是一种比较简单的整数运算处理。

2、 需要给出一个计数器的状态机,注意d输入不是随时有效的,只有在cnt计数为0的那个时钟沿,d输入有效,因此需要设计一个寄存器din,在cnt为0时候锁存d的值

`timescale 1ns/1ns
module multi_sel(
input [7:0]d ,
input clk,
input rst,
output reg input_grant,
output reg [10:0]out
);
//*************code***********//
    reg [1:0] cnt ; 
    reg [7:0] din ; 
    always @ (posedge clk or negedge rst) begin 
        if (~rst) begin 
            cnt <= 0 ; 
            out <= 0 ; 
            input_grant <= 0 ; 
            din <= 0 ; 
        end 
        else begin 
            cnt <= (cnt + 1) % 4 ; // cnt <= cnt + 1 由于是2位的寄存器 溢出后自动清0 两种写法皆可
            case (cnt) 
                0 : begin 
                    din <= d ; 
                    input_grant <= 1 ; 
                    out <= d ; 
                end 
                1 : begin 
                    input_grant <= 0 ;
                    out <= (din << 2) - din ; 
                end 
                2 : begin
                    input_grant <= 0 ; 
                    out <= (din << 3) - din ; 
                end 
                3 : begin 
                    input_grant <= 0 ; 
                    out <= din << 3 ; 
                end 
            endcase
        end 
    end 

//*************code***********//
endmodule

Testbench

`timescale 1ns/1ns
module testbench();
	reg clk = 0 ;
    reg rst = 0 ; 
    reg [7:0] d ; 
    wire [10:0] out ; 
    wire input_grant ; 

 
    always #5 clk = ~clk ;
// Create clock with period=10
// A testbench
    
    initial begin 
        # 10 ;
        rst = 1 ; 
        # 200 ; 
    end
    
    initial begin 
        d = 143 ; 
        # 40 d = 7 ; 
        # 50 d = 6 ; 
        # 10 d = 128 ; 
        # 10 d = 129 ; 
        # 60 $finish ; 
    end

    multi_sel u1(
        .clk(clk),
        .d(d),
        .rst(rst),
        .out(out),
        .input_grant(input_grant)
    );
    
//end    
    initial begin
        $dumpfile("out.vcd");
        // This will dump all signal, which may not be useful
        //$dumpvars;
        // dumping only this module
        //$dumpvars(1, testbench);
        // dumping only these variable
        // the first number (level) is actually useless
        $dumpvars(0, testbench);
    end  
    
endmodule
  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
移位运算Verilog中有两种类型,即算数移位和逻辑移位。对于算数移位,如果移位赋值目标位数多于源数据,对于有符号数右,先用符号位填充多出的位,然后按照各自的移位运算方式进行运算。对于无符号数,逻辑移位和算数移位的效果一致,即用0来补充空缺位。\[1\] 在Verilog中,移位运算符可以用来实现数乘操作。例如,当我们要将输入d乘以1时,可以直接赋值给out;当我们要将输入d乘以3时,可以将输入d左2位然后减去d;当我们要将输入d乘以7时,可以将输入d左3位然后减去d;当我们要将输入d乘以8时,可以将输入d左3位。总结如下:(din为中间变量) 数乘位运算: 1d3(din<<2)-din 7(din<<3)-din 8(din<<3) 这样可以实现不同的数乘操作。\[2\] 在Verilog中,移位运算的使用方法如下: a >> n; // 右n位 a << n; // 左n位 其中,a代表要进行移位的操作数,n代表要动的位数。这两种移位运算都用0来填补出的空位。\[3\] #### 引用[.reference_title] - *1* *2* [Verilog学习移位运算乘法设计](https://blog.csdn.net/m0_52529907/article/details/125614685)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^koosearch_v1,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [Verilog 中的移位(算术移位, 逻辑移位, 循环移位)](https://blog.csdn.net/Reborn_Lee/article/details/89813616)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^koosearch_v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

UESTC_KS

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值