电子表设计与验证(七段显示模块及顶层模块)

七段显示模块3、七段显示模块设计代码输入信号h 小时m 分钟s 秒钟输出信号h_dis 小时显示m_dis 分钟显示s_dis 秒钟显示module clock_display (h, m, s, h_dis, m_dis, s_dis); input [7:0] h; input [7:0] m; input [7:0] s; output [15:0] h_dis; output [15:0] m_dis; output [15:0] s_dis; cl
摘要由CSDN通过智能技术生成

七段显示模块

3、七段显示模块设计代码
输入信号

h 小时
m 分钟
s 秒钟
输出信号
h_dis 小时显示
m_dis 分钟显示
s_dis 秒钟显示

module clock_display (h, m, s, h_dis, m_dis, s_dis);

 input [7:0] h;
 input [7:0] m;
 input [7:0] s;
 output [15:0] h_dis;
 output [15:0] m_dis;
 output [15:0] s_dis;

 clock_dis u1 (h, h_dis);
 clock_dis u2 (m, m_dis);
 clock_dis u3 (s, s_dis);
endmodule

module clock_dis(time_i,disp_time_o);
input  [7:0]  time_i;
output [15:0]
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值