测占空比和测相位差

测占空比

占空比= 一个周期内高电平时间 / 一个周期总时间
但是时间是不容易测量的,且一个周期内的测量误差可能较大,我们可以让50M晶振时钟在待测信号内计数
占空比= ( 一个闸门时间内) 高电平计数 / 总的计数次数

在这里插入图片描述
主要模块与频率计类似,可参考https://blog.csdn.net/m0_54963930/article/details/119004776?spm=1001.2014.3001.5501

测两个信号相位差

有了测占空比的基础,我们可以用这个思想测量两个信号的相位差(两信号除了相位不同,其他均应保持相同)
首先将两个信号异或,得到不同步的信号,然后测量这个新的信号的占空比,再×180°即得到相位差

module	Phase(
		f1,
		f2,
		XOR_OUT,
		clk);
 
i
  • 2
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值