基于PYNQ实现LeNet(2)通过HLS实现

本文档介绍了如何使用vivado_HLS 2018.3在FPGA上实现LeNet神经网络。首先创建工程并设置目标周期时间及芯片型号,接着编写包括卷积、池化和全连接层在内的网络代码,并转换输入以适应全连接层。在HLS中,尽管主要关注C/C++代码,但需注意某些语句在HLS中不可用或有特定编写方式。后续部分将涉及代码的仿真优化。
摘要由CSDN通过智能技术生成

        实现方式为在vivado_HLS上用c编写lenet网络,并添加约束,最后综合成ip来实现部署到pynq上。

        使用软件:vivado_HLS 2018.3,matlab 2022a,vivado 2018.3,xilinx sdk 2018.3

(1)lenet各层网络的编写

        首先打开vivado_HLS 2018.3,创建工程,确认名称和存放文件夹。

 

        然后选择希望达到的目标周期时间和芯片型号,这里选择20ns(即50MHz时钟,先完成目标,再追求速度),芯片型号为xc7z020clg400-1对应pynq开发板

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值