Verilog HDL概念01

◼HDL(Hardware Description Language)硬件描述语言是对硬件电路进行行为 描述、寄存器传输描述或者结构化描述的一种语言。

◼FPGA作为可编程硬件,采用HDL语言作为编程语言

基本结构:

◼ Verilog HDL程序是由模块构成的。每个模块嵌套在module和endmodule声明语句中。

每个模块要进行端口定义,并说明输入输出端口,然后对模块的功能进行行为逻辑描述。

模块中的时序逻辑部分在always块的内部,在always块中只能对寄存器变量赋值

//clk_in 的posedge指的是clk_in的上升边缘


 Z高阻相对于断开

 

 

wire型变量

可以理解为线

 

 

寄存器型变量

 

 例子:

 

 


过程块指的是always

 


阻塞与非阻塞赋值

 

 没有板的情况下,编写仿真文件下使用

 模块调用:

 

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

NightHacker

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值