verdi基本操作

1. 生成波形:要VCS  与 verdi 联合仿真,需要在tb_top中加入如下代码,否则不会生成波形文件

   `ifdef FSDB
        initial begin
            $fsdbDumpfile("wave.fsdb");
            $fsdbDumpvars;
        end
    `endif

2. Verdi基本操作(大写:shift+)

g    get, signlas添加信号,显示波形
n    next, Search Forward选定信号按指定的值(上升沿,下降沿,both,指定Value)向前跳转
N    n功能相同,方向向后

y    Keep Cursor at Centor(开关)移至中央并保持居中,再按取消固定居中

c    color,调整所选信号的波形显示颜色,线的粗细和类型,非常方便Debug

z    Zoom Out波形缩小,一般配合鼠标放大非常方便

Z    Zoom In 波形放大

f    full, Zoom All波形全部显示

h    hierarchy显示信号的绝对路径

m    move将信号移动到黄线位置

L    重新加载波形或设计文件,这个很方便,在新一次仿真完成之后Roload即可

M  : 波形标记

在代码窗口,单击选中信号,此时处于高亮状态,按Ctrl+w,可以将信号发送到波形窗口

3、鼠标:

        左键:用于选择信号

        右键:调出菜单

        中间:单击移动黄线,拖动信号可移动位置排列顺序

        滑轮:上下滚屏

        左键圈定波形范围:按选定缩放

        双击信号波形: 跳转到代码,并用绿色高亮该信号

        双击信号:按位展开(expand),Struct展开下一层。

        再双击折叠(collapse)右键信号名->Bus Operations->Expand as Sub-bus->可以按指定位宽展开,比如512bits的信号分成4个128的,方便查看

4、使用Verdi查看Delta Cycle的方法:

        命令行中加入命令一起仿真:+fsdb+delta

 

        打开波形,首先鼠标左键选择需要展开的时间点,然后按下图所示的选项展开这个时间点,可以看到在这个时间点上,信号变化的先后顺序。

 

  • 1
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

东边坡

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值