verdi简单使用方法

verdi直接打开代码

verdi -f filelist.f -top rtl_top

verdi可以通过上面指令直接打开没有编译的代码,其中rtl_top表示rtl顶层模块。

kdb选项

kdb选项是vcs选项,在进行vcs仿真时可以加入kdb选项;加入kdb选项后,vcs仿真会生成verdi的库文件,通常库文件为sim.daidir,库文件里面包含相应代码信息;利用库文件verdi可以快捷打开rtl代码而不需要重新编译;

verdi利用daidir打开代码办法

verdi -dbdir simv.daidir &
verdi -simflow -simBin simv &

verdi可以直接通过以上两种指令打开rtl代码;

verdi直接打开波形及其相关rtl代码

verdi -ssf filename.fsdb

Verdi可以直接通过以上指令打开fsdb及其相关rtl代码;

verdi打开指定的restore文件

verdi -sswr fliename.rc

verdi可以通过以上指令,指定波形restore文件,可以将已保存的信号恢复

verdi单步调试功能

在vcs的编译选项中加入-kdb的option编译之后,然后在执行simv的option中加入-gui=verdi或者-verdi选项就可以起动verdi进行图形界面进行动态仿真。图形化仿真可以添加断点和观察变量情况。

verdi工具框编辑

verdi右下角有4个选项,可以编辑verdi窗口状态; 

UCLI操作

查找pin操作

ucli% search top_tb.chip.vss

force时钟

ucli% force top_tb.chip.clk 0 0ns,1 10ns -repeat 20ns

获取信号值

ucli% get top_tb.chip.vss

dump波形操作

ucli% call {$fsdbDumpfile "./test.fsdb"}           //创建波形

ucli% call {$fsdbDumpvars(0,top_tb.chip)}     //dump层次

ucli% call {$fsdbDumpon}                               //开始dump波形

ucli% call {$fsdbDumpflush}                            //更新波形

动态修改连线

stop -change b -command {force -deposit a [get b]} -continue

  • 13
    点赞
  • 138
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值