搭建UVM环境总结

一、主要组件的功能:

1、uvm_base_test extends uvm_test;

接收TB传来的接口,并将接口传递至底层;

声明并例化env;

声明并例化config类,底层环境中的cfg句柄指向该类中的cfg实例;

声明并例化virtual_sequence,并v_seq.start(env.v_sqr);

控制仿真环境参数;

仿真case均继承于该类;

2、config类中放全局变量,各组件均可通过句柄指向后访问;
3、virtual sequence

声明所有底层sequence,并实例seq;

实例seq 挂在到v_sqr中对应的句柄上;

在body中仿真挂起或者在底层body中挂起;

4、env 相当于一个容器;

例化底层各agent、refmod、checker、virtual sequencer;

sqr中的句柄指向各自底层agent中的sqr实例;

通信管道连接;

5、agent 相当于一个小容器;

例化driver、monitor,sequencer;

driver与sequencer接口传递链接:

drv.uvm_seq_port.connect(sqr.uvm_seq_export);

其中uvm_seq_port为driver中的内建端口;uvm_seq_export为sequencer中的内建端口;

二、关于在什么地方仿真挂起?

1、
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

东边坡

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值