STM32 F103 基础实验

索引 

        【1】led灯实验

        【2】定时器计时

        【3】矩阵按键

        【4】OLED显示实验

                       [4.1]oled i2c驱动程序

                        [4.2]oled 写数据命令

                        [4.3]SSH1106 初始化程序

                        [4.4]oled 汉字显示程序

                        [4.5]oled BMP图像显示程序

        【5】

                        [4.1]USART串口实验

【1】LED灯

功能:点亮PC13LED灯

​#include "stm32f10x.h"                  // Device header
void Led()
{
RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOC,ENABLE);//APB2时钟
	GPIO_InitTypeDef led;
	led.GPIO_Mode=GPIO_Mode_Out_PP;
	led.GPIO_Pin=GPIO_Pin_13;             //PC13
	led.GPIO_Speed=GPIO_Speed_10MHz;
	GPIO_Init(GPIOC,&led);
}

​int main()
{
   Led();
    while(1)
    {
         GPIO_ResetBits(GPIOC,GPIO_Pin_13);//led低电平有效
    }
}

 【2】定时器

功能:实现每秒计数加1


​#include "stm32f10x.h"                  // Device header

void Timer_Init(unsigned int arr,unsigned int psc)
{
	RCC_APB1PeriphClockCmd(RCC_APB1Periph_TIM3,ENABLE);//开启APB1定时器3的时钟
	TIM_TimeBaseInitTypeDef Timer;
	
	Timer.TIM_ClockDivision=TIM_CKD_DIV1;
	Timer.TIM_CounterMode=TIM_CounterMode_Up;
	Timer.TIM_Period=arr;
	Timer.TIM_Prescaler=psc;
	TIM_TimeBaseInit(TIM3,&Timer);
	TIM_Cmd(TIM3,ENABLE);//打开定时器
	
	TIM_ITConfig(TIM3,TIM_IT_Update,ENABLE);//使能定时器更新中断
}


void TIM_IRQNHandle(void)//定时器服务函数
{
	if(TIM_GetITStatus(TIM3,TIM_IT_Update))
	{
	
		TIM_ClearFlag(TIM3,TIM_IT_Update);//清除定时器标志位
		sec++;  //此处为定时器服务函数,此处在oled显示秒++
	}
	
}

int main()
{

    Timer_Init(7199,9999);  //((arr+1)*(psc+1)/72M)
	OLED_Init(); 
	OLED_Clear();//清屏
    Timer_Init(7199,9999);//((arr+1)*(psc+1)/CLK)
    while(1)
    {
       
        TIM_IRQNHandle();
		OLED_ShowNum(1,7,sec,2);//OLED显示函数
    }
}

 【3】矩阵按键

功能:实现S1-S16键值录入

C1-C4为行,R1-R4为列

接线:C1-C4接单片机引脚PA4-PA7;R1-R4依次接单片机引脚PB0,PB1,PB10,PB11

#include "stm32f10x.h"                  // Device header
#include <delay.h>
#define C1_H GPIO_SetBits(GPIOA,GPIO_Pin_4)
#define C2_H GPIO_SetBits(GPIOA,GPIO_Pin_5)
#define C3_H GPIO_SetBits(GPIOA,GPIO_Pin_6)
#define C4_H GPIO_SetBits(GPIOA,GPIO_Pin_7)

#define C1_L GPIO_ResetBits(GPIOA,GPIO_Pin_4)
#define C2_L GPIO_ResetBits(GPIOA,GPIO_Pin_5)
#define C3_L GPIO_ResetBits(GPIOA,GPIO_Pin_6)
#define C4_L GPIO_ResetBits(GPIOA,GPIO_Pin_7)


#define R1_H GPIO_SetBits(GPIOB,GPIO_Pin_0)
#define R2_H GPIO_SetBits(GPIOB,GPIO_Pin_1)
#define R3_H GPIO_SetBits(GPIOB,GPIO_Pin_10)
#define R4_H GPIO_SetBits(GPIOB,GPIO_Pin_11)

#define R1_L GPIO_ResetBits(GPIOB,GPIO_Pin_0)
#define R2_L GPIO_ResetBits(GPIOB,GPIO_Pin_1)
#define R3_L GPIO_ResetBits(GPIOB,GPIO_Pin_10)
#define R4_L GPIO_ResetBits(GPIOB,GPIO_Pin_11) 

int KeyNum = 0;//键值

void Led()
{
    RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOC,ENABLE);//APB2时钟
	GPIO_InitTypeDef led;
	led.GPIO_Mode=GPIO_Mode_Out_PP;
	led.GPIO_Pin=GPIO_Pin_13;             //PC13
	led.GPIO_Speed=GPIO_Speed_10MHz;
	GPIO_Init(GPIOC,&led);
}

void GPIO_init()
{	

	RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA,ENABLE);
	RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB,ENABLE);
	
	GPIO_InitTypeDef GPIOA_InitStruct;//设置列R1-R4
	GPIOA_InitStruct.GPIO_Mode=GPIO_Mode_IPU;//行设置为上拉输入
	GPIOA_InitStruct.GPIO_Pin=GPIO_Pin_7|GPIO_Pin_6|GPIO_Pin_5|GPIO_Pin_4;
	GPIOA_InitStruct.GPIO_Speed=GPIO_Speed_50MHz;
	GPIO_Init(GPIOA,&GPIOA_InitStruct);
	
	GPIO_InitTypeDef GPIOB_InitStruct;//设置行C1-C4
	GPIOB_InitStruct.GPIO_Mode=GPIO_Mode_Out_PP;//列设置为推挽输出
	GPIOB_InitStruct.GPIO_Pin=GPIO_Pin_11|GPIO_Pin_10|GPIO_Pin_1|GPIO_Pin_0;
	GPIOB_InitStruct.GPIO_Speed=GPIO_Speed_50MHz;
	GPIO_Init(GPIOB,&GPIOB_InitStruct);
	
}
void Key_Mulit()
{
	C1_H;C2_H;C3_H;C4_H;
	R1_L;R2_H;R3_H;R4_H;
	if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_4)==0)//扫描第一行,判断第一列是否为低
	{
		Delay_ms(2);
		if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_4)==0)
		{
			while(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_4)==0);//判断松手
			KeyNum=1;
			GPIO_ResetBits(GPIOC,GPIO_Pin_13); 
		}
	}
	
	
	if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_5)==0)//扫描第一行,判断第二列是否为低
	{
		Delay_ms(2);
		if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_5)==0)
		{
			while(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_5)==0);//判断松手
			KeyNum=2;
			GPIO_SetBits(GPIOC,GPIO_Pin_13); 
		}
	}
	
	if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_6)==0)//扫描第一行,判断第三列是否为低
	{
		Delay_ms(2);
		if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_6)==0)
		{
			while(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_6)==0);//判断松手
			KeyNum=3;
			GPIO_ResetBits(GPIOC,GPIO_Pin_13); 
		}
	}
	
	
	if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_7)==0)//扫描第一行,判断第四列是否为低
	{
		Delay_ms(2);
		if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_7)==0)
		{
			while(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_7)==0);//判断松手
			KeyNum=4;
			GPIO_SetBits(GPIOC,GPIO_Pin_13); 
		}
	}
	
	R1_H;R2_L;R3_H;R4_H;
	if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_4)==0)//扫描第二行,判断第一列是否为低
	{
		Delay_ms(2);
		if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_4)==0)
		{
			while(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_4)==0);//判断松手
			KeyNum=5;
			GPIO_ResetBits(GPIOC,GPIO_Pin_13); 
		}
	}
	
	
	if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_5)==0)//扫描第二行,判断第二列是否为低
	{
		Delay_ms(2);
		if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_5)==0)
		{
			while(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_5)==0);//判断松手
			KeyNum=6;
			GPIO_SetBits(GPIOC,GPIO_Pin_13); 
		}
	}
	
	if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_6)==0)//扫描第二行,判断第三列是否为低
	{
		Delay_ms(2);
		if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_6)==0)
		{
			while(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_6)==0);//判断松手
			KeyNum=7;
			GPIO_ResetBits(GPIOC,GPIO_Pin_13); 
		}
	}
	
	
	if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_7)==0)//扫描第二行,判断第四列是否为低
	{
		Delay_ms(2);
		if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_7)==0)
		{
			while(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_7)==0);//判断松手
			KeyNum=8;
			GPIO_SetBits(GPIOC,GPIO_Pin_13); 
		}
	}
	
	
	R1_H;R2_H;R3_L;R4_H;
	if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_4)==0)//扫描第三行,判断第一列是否为低
	{
		Delay_ms(2);
		if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_4)==0)
		{
			while(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_4)==0);//判断松手
			KeyNum=9;
			GPIO_ResetBits(GPIOC,GPIO_Pin_13); 
		}
	}
	
	
	if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_5)==0)//扫描第三行,判断第二列是否为低
	{
		Delay_ms(2);
		if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_5)==0)
		{
			while(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_5)==0);//判断松手
			KeyNum=10;
			GPIO_SetBits(GPIOC,GPIO_Pin_13); 
		}
	}
	
	if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_6)==0)//扫描第三行,判断第三列是否为低
	{
		Delay_ms(2);
		if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_6)==0)
		{
			while(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_6)==0);//判断松手
			KeyNum=11;
			GPIO_ResetBits(GPIOC,GPIO_Pin_13); 
		}
	}
	
	
	if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_7)==0)//扫描第三行,判断第四列是否为低
	{
		Delay_ms(2);
		if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_7)==0)
		{
			while(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_7)==0);//判断松手
			KeyNum=12;
			GPIO_SetBits(GPIOC,GPIO_Pin_13); 
		}
	}
	
	R1_H;R2_H;R3_H;R4_L;
	if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_4)==0)//扫描第四行,判断第一列是否为低
	{
		Delay_ms(2);
		if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_4)==0)
		{
			while(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_4)==0);//判断松手
			KeyNum=13;
			GPIO_ResetBits(GPIOC,GPIO_Pin_13); 
		}
	}
	
	
	if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_5)==0)//扫描第四行,判断第二列是否为低
	{
		Delay_ms(2);
		if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_5)==0)
		{
			while(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_5)==0);//判断松手
			KeyNum=14;
			GPIO_SetBits(GPIOC,GPIO_Pin_13); 
		}
	}
	
	if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_6)==0)//扫描第四行,判断第三列是否为低
	{
		Delay_ms(2);
		if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_6)==0)
		{
			while(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_6)==0);//判断松手
			KeyNum=15;
			GPIO_ResetBits(GPIOC,GPIO_Pin_13); 
		}
	}
	
	
	if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_7)==0)//扫描第四行,判断第四列是否为低
	{
		Delay_ms(2);
		if(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_7)==0)
		{
			while(GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_7)==0);//判断松手
			KeyNum=16;
			GPIO_SetBits(GPIOC,GPIO_Pin_13); 
		}
	}
	
}
int main(void)
{
	Led();   //led灯初始化
	GPIO_init();//矩阵按键引脚
	GPIO_SetBits(GPIOC,GPIO_Pin_13);//关闭led灯 
	while (1)
	{
		Key_Mulit();//用led判断矩阵按键功能是否实现
	}
}

 【4.1】OLED I2C 驱动程序

OLED使用SSH1106驱动芯片,只需更改初始化程序即可移植

SDA 接 B9 ; SCL 接 B8

#include "stm32f10x.h"                  // Device header
#define  uint8_t  unsigned char

/*引脚配置*/
#define OLED_W_SCL(x)		GPIO_WriteBit(GPIOB, GPIO_Pin_8, (BitAction)(x))
#define OLED_W_SDA(x)		GPIO_WriteBit(GPIOB, GPIO_Pin_9, (BitAction)(x))


/*引脚初始化*/
void OLED_I2C_Init(void)
{
    RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB, ENABLE);
	
	GPIO_InitTypeDef GPIO_InitStructure;
 	GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;
	GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
	GPIO_InitStructure.GPIO_Pin = GPIO_Pin_8;
 	GPIO_Init(GPIOB, &GPIO_InitStructure);
	GPIO_InitStructure.GPIO_Pin = GPIO_Pin_9;
 	GPIO_Init(GPIOB, &GPIO_InitStructure);
	
	OLED_W_SCL(1);
	OLED_W_SDA(1);
}

/**
  * @brief  I2C开始
  * @param  无
  * @retval 无
  */
void OLED_I2C_Start()
{
	OLED_W_SDA(1);
	OLED_W_SCL(1);
	OLED_W_SDA(0);
	OLED_W_SCL(0);
}

/**
  * @brief  I2C停止
  * @param  无
  * @retval 无
  */
void OLED_I2C_Stop()
{
	OLED_W_SDA(0);
	OLED_W_SCL(1);
	OLED_W_SDA(1);
}

/**
  * @brief  I2C发送一个字节
  * @param  Byte 要发送的一个字节
  * @retval 无
  */
void OLED_I2C_SendByte(uint8_t Byte)
{
	uint8_t i;
	for (i = 0; i < 8; i++)
	{
		OLED_W_SDA(Byte & (0x80 >> i));
		OLED_W_SCL(1);
		OLED_W_SCL(0);
	}
	OLED_W_SCL(1);	//额外的一个时钟,不处理应答信号
	OLED_W_SCL(0);
}

 【4.2】OLED 写 数据|命令


/**
  * @brief  OLED写命令
  * @param  Command 要写入的命令
  * @retval 无
  */
void OLED_WriteCommand(uint8_t Command)
{
	OLED_I2C_Start();
	OLED_I2C_SendByte(0x78);		//从机地址
	OLED_I2C_SendByte(0x00);		//写命令
	OLED_I2C_SendByte(Command); 
	OLED_I2C_Stop();
}

/**
  * @brief  OLED写数据
  * @param  Data 要写入的数据
  * @retval 无
  */
void OLED_WriteData(uint8_t Data)
{
	OLED_I2C_Start();
	OLED_I2C_SendByte(0x78);		//从机地址
	OLED_I2C_SendByte(0x40);		//写数据
	OLED_I2C_SendByte(Data);
	OLED_I2C_Stop();
}

/**
  * @brief  OLED设置光标位置
  * @param  Y 以左上角为原点,向下方向的坐标,范围:0~7
  * @param  X 以左上角为原点,向右方向的坐标,范围:0~127
  * @retval 无
  */
void OLED_SetCursor(uint8_t Y, uint8_t X)
{
	OLED_WriteCommand(0xB0 + Y);					//设置Y位置
	OLED_WriteCommand(0x10 | ((X & 0xF0) >> 4));	//设置X位置低4位
	OLED_WriteCommand(0x01 | (X & 0x0F));			//设置X位置高4位
}

/**
  * @brief  OLED清屏
  * @param  无
  * @retval 无
  */
void OLED_Clear(void)
{  
	uint8_t i, j;
	for (j = 0; j < 8; j++)
	{
		OLED_SetCursor(j, 0);
		for(i = 0; i < 132; i++)  //1.3寸OLED地址偏移2,0.9寸改为128即可
		{
			OLED_WriteData(0x00);
		}
	}
}

SSH1106初始化程序

void OLED_Init()
{
	uint32_t i, j;
	
	for (i = 0; i < 1000; i++)			//上电延时
	{
		for (j = 0; j < 1000; j++);
	}
	
	OLED_I2C_Init();			//端口初始化
	
	
 OLED_WriteCommand(0xAE);//set display display ON/OFF,AFH/AEH
 OLED_WriteCommand(0x02);
 OLED_WriteCommand(0x10);
 OLED_WriteCommand(0x40);//set display start line:COM0
 OLED_WriteCommand(0xB0);
 OLED_WriteCommand(0x81);//set contrast control
 OLED_WriteCommand(0xCF);


 OLED_WriteCommand(0xA1);//entire display on: A4H:OFF/A5H:ON
 OLED_WriteCommand(0xC8); //该指令控制显示方向显示方向0xc8或者0xc0
 //Write_Command(0xC0);

 OLED_WriteCommand(0xAF);
 OLED_WriteCommand(0xA7);//set normal/inverse display: A6H:normal/A7H:inverse

 OLED_WriteCommand(0xA8);//set multiplex ratio
 OLED_WriteCommand(0x3F);//1/64duty
 OLED_WriteCommand(0xD3);//set display offset
 OLED_WriteCommand(0x00);//
 OLED_WriteCommand(0xD5);//set display clock divide ratio/oscillator frequency
 OLED_WriteCommand(0x80);//105Hz
 OLED_WriteCommand(0xD9);//Dis-charge /Pre-charge Period Mode Set
 OLED_WriteCommand(0xF1);//
 OLED_WriteCommand(0xDA);//Common Pads Hardware Configuration Mode Set
 OLED_WriteCommand(0x12);//
 OLED_WriteCommand(0xDB);//set vcomh deselect level
 OLED_WriteCommand(0x40);//VCOM = β X VREF = (0.430 + A[7:0] X 0.006415) X VREF
 OLED_WriteCommand(0xA4);
 OLED_WriteCommand(0xA6);
 OLED_WriteCommand(0xAF);//set display display ON/OFF,AEH/AFH

 //这两条指令是设置反显,取消注释即为打开反显,
 //OLED_WriteCommand(0xAF);
 //OLED_WriteCommand(0xA7);//set normal/inverse display: A6H:normal/A7H:inverse
	OLED_Clear();
}

 【4.3】OLED 汉字字模库 | BMP图像库

//使用软件添加字模库
//16*16
const unsigned char Tab[]={
	
0x20,0x24,0x24,0x24,0xFE,0x23,0x22,0x20,//我
0x20,0xFF,0x20,0x22,0x2C,0xA0,0x20,0x00,
0x00,0x08,0x48,0x84,0x7F,0x02,0x41,0x40,
0x20,0x13,0x0C,0x14,0x22,0x41,0xF8,0x00,	
	
0x00,0xF8,0x0C,0x0B,0x08,0x08,0xF8,0x40,//的
0x30,0x8F,0x08,0x08,0x08,0xF8,0x00,0x00,
0x00,0x7F,0x21,0x21,0x21,0x21,0x7F,0x00,
0x00,0x00,0x43,0x80,0x40,0x3F,0x00,0x00,

};


//BMP图像库

const unsigned char BMP0[]={
0x00,0xFE,0xFE,0x06,0x06,0xE6,0xE6,0xE6,
0xE6,0xE6,0x06,0xFE,0xFE,0x00,0x00,0xC6,
0xD8,0xD8,0xDE,0xDE,0xDE,0xF8,0xF8,0x1E,
0xD8,0xD8,0xDE,0xCE,0xC0,0x04,0x06,0x20,
0x20,0x20,0x30,0x3E,0xDE,0xDE,0x00,0x0C,
0x1E,0x1E,0x1E,0xD8,0xDC,0xFE,0xFE,0xFE,
0x38,0x00,0x00,0xFE,0xFE,0x06,0xE6,0xE6,
0xE6,0xE6,0xE6,0x06,0x06,0xFE,0xFE,0x00,
0x00,0x00,0x1F,0x1F,0x18,0x18,0xDB,0x1B,
0x1B,0xDB,0xD9,0xD8,0xDF,0xDF,0x00,0x00,
0xD8,0x01,0x01,0xD8,0x21,0x23,0x1B,0x1B,
0x24,0x3B,0x3B,0x23,0x23,0xDB,0x04,0x04,
0xFB,0xFB,0xE7,0xEF,0x9C,0xE4,0xE4,0xFC,
0x7C,0x26,0xD8,0xD8,0xE0,0x9E,0x1F,0xC7,
0xC7,0x3F,0xC0,0xC0,0x1F,0x1F,0x18,0x19,
0x1B,0xDB,0x9B,0x1B,0x18,0x18,0xDF,0x9F,
0x00,0x00,0x00,0xE0,0xE0,0xE0,0xE0,0x03,
0x90,0x98,0x60,0x60,0x0C,0x64,0x64,0x9B,
0x91,0x80,0x10,0x10,0x80,0x9F,0x9F,0x03,
0x03,0xFF,0x60,0x60,0x73,0x7B,0x1C,0x63,
0xE3,0x63,0x63,0x63,0x23,0x03,0x7C,0x7C,
0x73,0x9C,0x9C,0x9C,0x9C,0x7C,0xBC,0x98,
0x83,0x83,0x83,0x73,0x7B,0xE4,0xE4,0x04,
0x7C,0x7C,0xE3,0xE3,0x80,0xE7,0xE7,0x84,
0x84,0x00,0x00,0x00,0x03,0x03,0x00,0x00,
0x7C,0xF3,0xF3,0x6C,0x6C,0x6F,0x93,0x93,
0x8F,0x87,0x00,0x9C,0x9C,0x70,0x0F,0x0F,
0xEC,0xEC,0xEF,0x00,0x00,0x00,0x00,0x00,
0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
0x00,0x00,0x00,0x9C,0x70,0x70,0x7F,0x4D,
0x0C,0x00,0x01,0xF3,0x30,0x10,0x73,0x73,
0x0F,0x8F,0x8F,0x90,0x91,0x83,0xF3,0xF3,
0xFF,0xFF,0x00,0x00,0x00,0x38,0x38,0x46,
0xC6,0xF8,0xCF,0xCF,0x38,0xB8,0xC8,0xC9,
0xC9,0x07,0x87,0xC6,0xF7,0xF7,0xC8,0xC6,
0xC6,0xFF,0xFF,0x03,0x00,0x00,0x00,0x00,
0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
0x00,0x00,0x00,0x00,0xFF,0xC8,0xCC,0xFE,
0xFE,0xFE,0x08,0x0C,0xCF,0x0C,0x08,0xBE,
0x3E,0x0E,0x47,0xC7,0x01,0x01,0x41,0xFF,
0xFF,0xC1,0xC1,0x00,0x00,0x00,0x27,0x37,
0xC6,0xC6,0x07,0xC0,0xC0,0x07,0x07,0x31,
0x36,0x36,0xCF,0xCF,0xC9,0xCE,0xDE,0x39,
0x47,0xC7,0xF8,0xF8,0xC7,0xE1,0xF1,0x06,
0x06,0x18,0x0E,0x0E,0x37,0x37,0x36,0xB2,
0xF1,0x38,0x38,0xF0,0xC8,0xC8,0xC6,0xC6,
0xD9,0xC9,0xD9,0xC6,0xC6,0xFE,0x9E,0x0E,
0xF7,0xE7,0xC0,0x20,0x30,0x0F,0x0F,0x00,
0x39,0x39,0xF7,0xE7,0x00,0x00,0x00,0xF9,
0xF9,0x18,0x18,0xD9,0xD9,0xD9,0xD9,0x99,
0x19,0xF9,0xF9,0x01,0xA4,0xE6,0x3E,0x3E,
0xC0,0xE0,0xE0,0xFE,0xFE,0xDE,0x38,0x38,
0x38,0x38,0x00,0x84,0xC6,0xDF,0xDF,0xD9,
0xDB,0x3F,0x00,0x00,0x01,0x86,0xC6,0x1F,
0x1F,0xE1,0xE1,0xE1,0x1F,0x1F,0xFF,0xC3,
0xC1,0xD9,0xD9,0xC1,0xFF,0xFF,0xC1,0x81,
0x18,0xF9,0xF9,0x3E,0x3C,0x00,0x00,0x00,
0x7F,0x7F,0x60,0x60,0x67,0x67,0x67,0x67,
0x67,0x60,0x7F,0x7F,0x00,0x04,0x04,0x64,
0x64,0x00,0x1C,0x1C,0x78,0x78,0x1F,0x3B,
0x7B,0x7C,0x7C,0x60,0x61,0x63,0x03,0x03,
0x03,0x11,0x18,0x78,0x78,0x18,0x11,0x03,
0x1B,0x1B,0x1B,0x14,0x04,0x1B,0x1B,0x7B,
0x07,0x07,0x60,0x60,0x7F,0x6C,0x64,0x07,
0x07,0x00,0x73,0x7B,0x67,0x67,0x00,0x00,};

 【4.4】OLED 汉字显示

x 取值 0-127

y 取值 0-8

N为字模第n组数组显示的汉字


void Chinese_Dis(unsigned char x,unsigned char y,unsigned char N)//显示16*16汉字
{
	
unsigned int Num =N*32;
	unsigned int i = 0;
	OLED_SetCursor(y,x+2);		
	for ( i = 0; i < 16; i++)
	{
		OLED_WriteData(Tab[Num]);		
			Num+=1;
	}
	
	OLED_SetCursor(y+1,x+2);		
	for (i = 0; i < 16; i++)
	{
		OLED_WriteData(Tab[Num]);		
			Num+=1;
	}
}	

int main()
{
	OLED_Init();	
	while(1)
	{
		Chinese_Dis(1,1,0);
		Chinese_Dis(16,1,1);
	}
}

x取值范围:0-127

y取值范围:0-7

N为字模库第几个字

oled显示展示

【4.5】OLED BMP显示


void Oled_DisBMP(unsigned char x0,unsigned char y0,unsigned char x1,unsigned char y1,const unsigned char Disp[])
{
	 unsigned int j=0;
 unsigned char x,y;
  
  if(y1%8==0) 
  {y=y1/8;}      
  else {y=y1/8+1;}
	for(y=y0;y<y1;y++)
	{
		OLED_SetCursor(y,x0);
    for(x=x0;x<x1;x++)
	    {      
	    	OLED_WriteData(Disp[j++]);	    	
	    }
	}
}

函数内有五个参数,x0与·y0是BMP图像在oled显示起始位置,x1,y1是图像末位置,Disp 是显示那个BMP字库数组名称,例如:要显示BMP0[]={...} 这个数组,则在函数中输入  Oled_DisBMP(x0,x1,y0,y1,BMP0);                                                   

x1,x0 范围:0-127

y1 ,x1 范围:0-7


int main()
{
	OLED_Init();
	while(1)
	{
		Oled_DisBMP(0,0,65,8,BMP0);
	}
}

BMP显示实验展示

[图片]

【5】USART  串口实验

#include "stm32f10x.h"                  // Device header
#include "stdio.h"

void led()//led PE5初始化
{
	RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOE,ENABLE);
	GPIO_InitTypeDef GPIO_InitStruct;
	GPIO_InitStruct.GPIO_Mode=GPIO_Mode_Out_PP;
	GPIO_InitStruct.GPIO_Pin=GPIO_Pin_5|GPIO_Pin_6;
	GPIO_InitStruct.GPIO_Speed=GPIO_Speed_50MHz;
	GPIO_Init(GPIOE,&GPIO_InitStruct);
}
void CUSART_init()//串口初始化函数
{
	GPIO_InitTypeDef GPIO_InitStruct;//GPIO结构体
	USART_InitTypeDef USART_InitStruct;//USART结构体
	NVIC_InitTypeDef NVIC_InitStruct;//中断结构体
	
	
	RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA,ENABLE);
	RCC_APB2PeriphClockCmd(RCC_APB2Periph_USART1,ENABLE);
	
	
	
	GPIO_InitStruct.GPIO_Mode=GPIO_Mode_AF_PP;//
	GPIO_InitStruct.GPIO_Pin=GPIO_Pin_9;//设置RX,TX端口串口使能
	GPIO_InitStruct.GPIO_Speed=GPIO_Speed_10MHz;
	GPIO_Init(GPIOA,&GPIO_InitStruct);
	
	GPIO_InitStruct.GPIO_Mode=GPIO_Mode_IN_FLOATING;//设置端口浮空输入
	GPIO_InitStruct.GPIO_Pin=GPIO_Pin_10;//设置RX,TX端口串口使能
	GPIO_InitStruct.GPIO_Speed=GPIO_Speed_10MHz;
	GPIO_Init(GPIOA,&GPIO_InitStruct);
	
	USART_InitStruct.USART_BaudRate=9600;//波特率
	USART_InitStruct.USART_HardwareFlowControl=USART_HardwareFlowControl_None;//硬件流设置
	USART_InitStruct.USART_Mode=USART_Mode_Tx | USART_Mode_Rx;//设置串口模式
	USART_InitStruct.USART_Parity=USART_Parity_No;//不使用奇偶校验
	USART_InitStruct.USART_StopBits=USART_StopBits_1;//设置停止位 1
	USART_InitStruct.USART_WordLength=USART_WordLength_8b;//传输或接受传输数据位
	
	USART_Init(USART1,&USART_InitStruct);
	
	USART_Cmd(USART1,ENABLE);//使能串口
	

	USART_ITConfig(USART1, USART_IT_RXNE, ENABLE); //开启串口接受中断 	
	
	
	NVIC_InitStruct.NVIC_IRQChannel=USART1_IRQn;
	NVIC_InitStruct.NVIC_IRQChannelCmd=ENABLE;
	NVIC_InitStruct.NVIC_IRQChannelPreemptionPriority=1;
	NVIC_InitStruct.NVIC_IRQChannelSubPriority=1;
	NVIC_Init(&NVIC_InitStruct);
}



#define USAERT_REC_LEN 200
unsigned char USART_RX_BUF[USAERT_REC_LEN]; //接受缓冲
unsigned int USART_RX_STA =0; //接受状态标志位

  
/*
USART_RX_STA 定义为一个 16进制的标志位
接收到 0x8000 为 1000 0000 0000 0000 最高位(第15位)接收到1,表示接受完成
接收到 0x4000 同上第14位接收到1,表示接受到0x0d
0d 表示回车
oa 表示换行
以0d,0a结尾表示接收完成

注意:蓝牙和STM32的波特率必须一致
如需使用蓝牙app与MCU通信将TX接到RX

*/
void USART1_IRQHandler()//串口一中断服务函数
{
	unsigned char res;  //将接受的数据存放data
	if(USART_GetITStatus(USART1,USART_IT_RXNE)!= RESET)//接受的数据以0x0d,0x0a结尾
	{
		res=USART_ReceiveData(USART1);//读取接受数据
		if((USART_RX_STA&0x8000)==0)//接受未完成
		{
			if(USART_RX_STA&0x4000)//接收到0x0d
			{
				if(res != 0x0a)//如果未接受到0x0a
				{
					USART_RX_STA=0;
				}
				else
				{
					USART_RX_STA|=0x8000;//接收完成 
				}
			}
			else//如果未接受到0x0d
			{
				if(res==0x0d)//接收到了
				{
					USART_RX_STA|=0x4000;
				}
				else
				{
					USART_RX_BUF[USART_RX_STA&0x3ffff]=res;// 0011 1111 1111 1111,储存数据个数
					USART_RX_STA++;//接受到的有效数据个数+1 
					GPIO_SetBits(GPIOE,GPIO_Pin_6);//关闭led
					if(res== 0x45)//HC-05接收到0x45这个数据
					{
						GPIO_SetBits(GPIOE,GPIO_Pin_5);//关闭led
	
					}
					if(USART_RX_STA>(USAERT_REC_LEN-1))//接收数据出错,重新开始接受
					{
						USART_RX_STA=0;
					}
				}
			}
		}
		
	
			USART_SendData(USART1,res);//将储存的数据发送出去
	}
	
} 




// 发送数据

int fputc(int ch, FILE *f)

{

USART_SendData(USART1, (unsigned char) ch);// USART1 可以换成 USART2 等

while (!(USART1->SR & USART_FLAG_TXE));

return (ch);

}

// 接收数据

int GetKey (void) {

while (!(USART1->SR & USART_FLAG_RXNE));

return ((int)(USART1->DR & 0x1FF));

}


int main()
{
	NVIC_PriorityGroupConfig(NVIC_PriorityGroup_2);
	CUSART_init();
	led();
	//
	GPIO_ResetBits(GPIOE,GPIO_Pin_5);//关闭led
	
	while(1)
	{
		USART1_IRQHandler();
	}

}

实验效果 :

未显示打印信息可能是未勾选[Use MicroLIB选项]

 勾选上即可

滴答定时器延时1us

void Delay_us(uint32_t xus)
{
	SysTick->LOAD = 72 * xus;				//设置定时器重装值
	SysTick->VAL = 0x00;					//清空当前计数值
	SysTick->CTRL = 0x00000005;				//设置时钟源为HCLK,启动定时器
	while(!(SysTick->CTRL & 0x00010000));	//等待计数到0
	SysTick->CTRL = 0x00000004;				//关闭定时器
}

  • 3
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

爱吃瓜的亚瑟

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值