UVM基础--Config_db

1. 基本概念

config_db机制主要用于UVM验证平台传递参数。通常是成双成对出现(set/get)。set函数是寄信,get函数是收信。

  • 寄信代码实例解读: 
uvm_config_db#(int)::set(this,"env.i_agt.drv","pre_num",100);

第一个和第二个参数组合形成目标路径,只有符合此目标路径的目标才能收到信

第一个参数是uvm_component实例的指针

第二个参数是相对于此实例的路径

第三个参数是这个值传给目标中哪一个成员

第四个参数是要设置的值

  • 收信代码实例解读:
uvm_config_db#(int)::get(this,"","pre_num",pre_num);

第一个参数是uvm_component实例的指针

第二个参数是相对于此实例的路径

若第一个参数设置成this,那么第二个参数可以是空字符串

第三个参数一定要与set函数第三个参数严格一致

第四个参数是要设置的变量,可以与set/get函数第三个参数不一致

以下四种写法等价:

1. uvm_config_db#(virtual my_if)::set(null,"uvm_test_top.env.i_agt.drv","vif",input_if);

2. uvm_config_db#(virtual my_if)::set(uvm_root::get(),"uvm_test_top.env.i_agt.drv","vif",inp
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值