UVM基础--Driver

本文介绍了UVM框架中的Driver组件,详细说明了其作用,即接收sequencer的数据并驱动到DUT。同时,解释了driver与sequencer的通信机制,通过TLM端口进行交互。还提供了一个driver的实例来加深理解。
摘要由CSDN通过智能技术生成

1. driver的作用

从sequencer中获得数据项,按照接口协议将数据项驱动到DUT上。UVM类库中提供了UVM_driver基类,所有的driver类应该直接或者间接地从该类中扩展出来。

2. driver与sequencer通信示意图

driver上有一个TLM port,通过它与sequencer进行通信。

3. driver例子

class simple_driver extends uvm_driver #(simple_transfer);//扩展出driver,指定传输类型是simple_transfer
    virtual dut_if vif;
    `uvm_component_utils(simple_driver)//注册

//构造函数
    function new(string name = "simple_driver",uvm_component parent = null);
        super.new(name, parent);
    endfunction
function void build_phase(uvm_phase phase);
    super.build_phase(phase);
...
endfunction

virtual task run_phase(uvm_phase,phase);
    get_and_drive();
endtask

virtual protected task get_and_drive();
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值