Verilog练习笔记(四选一多路选择器)

本文记录了一位FPGA初学者使用Verilog实现四选一多路选择器的过程,包括三种方法:case语句、if...else...语句和三目运算符。内容涵盖状态转换、波形示意图、输入输出描述以及解题参考链接。
摘要由CSDN通过智能技术生成

制作一个四选一的多路选择器,要求输出定义上为线网类型

状态转换:

d0    11
d1    10
d2    01
d3    00

信号示意图:

波形示意图:

输入描述:

输入信号   d1,d2,d3,d4 sel
类型 wire

输出描述:

输出信号 mux_out
类型  wire

方法1(case语句):

module mux4_1(
    d0 ,
    d1 ,
    d2 ,
    d3 ,
    sel,
    mux_out
);

//port attribute
input  wire [1:0] d0     ;
input  wire [1:0] d1     ;
input  wire [1:0] d2     ;
input  wire [1:0] d3     ;
input  wire [1:0] sel    ;
output wire [1:0] mux_out;

//register
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值