sv中静态属性和静态方法

本文介绍了System Verilog(sv)中静态属性和静态方法的概念。静态属性是类中所有实例共享的变量,其内存分配在编译时完成,不受实例化影响。静态方法则对所有类实例共享,可在类外部直接调用,只能访问静态属性,并且不支持`virtual`和`this`关键字。
摘要由CSDN通过智能技术生成

静态属性

类的内存空间是动态分配和释放的,同一个类的不同实例,即使其中变量的名称相同,也是不同的东西。
如果需要一个变量,这个变量能够被一个类的所有实例共享,那么就需要声明这个变量为static。
可以理解为,静态属性属于这个类,不会因为类的不同例化而改变。具有静态属性的变量,其内存空间在编译时就已经完成分配,不必再等到类实例化后。

module tb;
class A;
static int var;
endclass

initial begin
A a1,a2;
a1=new();
a2
  • 1
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值